AVS 69 Session PS-TuP: Plasma Science and Technology Poster Session

Tuesday, November 7, 2023 6:30 PM in Oregon Ballroom 203-204

Tuesday Evening

Session Abstract Book
(468KB, Nov 2, 2023)
Time Period TuP Sessions | Topic PS Sessions | Time Periods | Topics | AVS 69 Schedule

PS-TuP-1 Sidewall Polymer Removal Challenges by Wet-Etching and the Proposal of High-Density Radical Flux (HDRF™) as a Dry-Etch Solution
Sabrina Rosa Ortiz, Jack Tressler, Dylan Meisner (Plasma-Therm LLC)

Addressing challenges in semiconductor industry often faced by advanced packaging, sensors, and MEMS by promoting cost-effective solutions has been the ultimate challenge throughout the years. This study explores the use of Plasma Dicing technologies such as plasma singulator systems (MDS-100 & MDS-300 SINGULATOR®) to facilitate and promote an increase in die count per wafer establishing a higher production volume with performance. Plasma dicing offers several advantages, including an improved die surface area per wafer promoting an increase in yield per wafer to be processed. The ability to navigate the incorporation of smooth sidewalls, and achievement of street sizes ≤10μm promotes new advantages in the semiconductor industry. Plasma dicing not only removes material in dicing streets by Bosch process implementation but also prevents any physical impact on each die while performed using polymer deposition to facilitate the protection of sidewalls during etching. To enable several applications in the semiconductor industry, including increased device performance, absorption, and electrical conductivity throughout devices, polymer removal and post-etch cleaning are required which has been mainly performed by wet-etching procedures. Wet clean polymer removal has been tested for our standard Plasma-Therm wafer by using both 3M™ Novec™ 7100 Engineered Fluid and EKC265™ separately and analyzed using Energy Dispersive X-Ray Spectroscopy (EDX) to investigate the removal of residues of Carbon and Fluoropolymer. Although successful removal of polymer from the sidewalls was achieved, the amount of liquid required may increase the cost but also the residual waste, preventing proper process control, causing direct damage to the samples due to chemical nature, and handling issues. To address these limitations, we propose the introduction and implementation of dry plasma cleaning solutions that can perform the removal of polymers and photoresist materials without any device damage. At Plasma-Therm, LLC photoresist can be removed by a dry-etching step performed after completion of the Bosch process in a singulator system but also it can be accomplished by using a High-Density Radical Flux (HDRF™) process tool, which not only enables polymer removal but also is designed to perform photoresist strip allowing smoothing of sidewalls for several applications without causing device damage. This tool not only allows us to move from wet-etching by eliminating contamination of samples by particles or residues but also provides a more efficient and effective alternative to wet-etching processes for the removal of polymer and photoresist.

PS-TuP-2 Mass Spectrometric Study of Ar-Diluted Ammonia Borane Plasma for h-BN 2D Film Formation
Takeshi Kitajima, Toshiki Nakano (National Defense Academy, Japan)
Ammonia borane is used as a relatively safe source of BN for the rapid synthesis of h-BN, an important insulating material1 in the field of two-dimensional electronics2. Ammonia borane plasma attracts attention when aiming at high-speed film formation, and analysis of active species in the plasma is necessary. In this study, active species generated from ammonia borane powder irradiated with Ar plasma were analyzed by mass spectrometry.
Parallel plate type 100MHz driven capacitively coupled plasma generated in a high vacuum chamber is used. After placing 0.1 g of ammonia borane (BH3NH3) powder on the RF electrode and evacuating, a 10 W glow discharge was formed with an Ar gas flow rate of 30 sccm. A copper sample heated to 800°C was placed downstream, and when BN radicals were supplied at a pressure of 800 Pa, an h-BN atomic film was formed over 30 minutes as shown in the SEM image and Raman spectrum of Fig. 1(a,b). Radical analysis in space was performed with a mass spectrometer at a pressure of 10 Pa. Figure 1(c) shows the difference in the mass spectrum when the plasma is turned on and off. BNH5(30) is increased by plasma lighting. O2(32) is produced by plasma decomposition of H2O(18).
Radicals generated from ammonia borane raw material leading to formation of h-BN atomic film are presumed to be BNH5 generated by decomposition of BNH6. Dangling bonds of BNH5 are thought to generate chemical reaction activity on the substrate.
1 K.H. Lee, et.al. Nano Letters 12, 714 (2012).
2 L. Song, et.al. Nano Letters 10, 3209 (2010).
View Supplemental Document (pdf)
PS-TuP-3 Plasma Degradation of PTFE Channel in a DBD/Plasma Jet Configuration for Endoscopes Use in Plasma Medicine
Juliette Zveny, Teo Serra, Antoine Remy, Antoine Nonclercq, Jacques Deviere, Alain Delchambre, François Reniers (Université Libre de Bruxelles)

As plasma medicine is more and more developed and studied for treatment of pathologies such as skin cancer (Terefinkoet al. 2021)or tumorous intestinal cells (Hadefi et al. 2022, Bastin et al. 2020)as well as for decontamination (Deng et al. 2007), the question of the effect of plasma on medical devices rises.

In this work, we are interested in endoscopes and the way plasma could damage them. Indeed, endoscopes working channelscould be used to carry plasma inside a body to a desired target, but the effect of the plasma on the endoscope itself must be investigated. As the surfaces of the channels of endoscopes are coated with PTFE, mainly for its lubricating properties, we studied the plasma-induced degradation of a PTFE tube in a setup that mimics the characteristics of an endoscope device already described in previous work (Bastin et al. 2019). XPS wasused to study the chemical and roughness modifications of the PTFE surface andFTIR was used to study the species ejected to the gas phase.

Given the preliminary nature of current exploratory studies in this field, we employed diverse parameters for our investigation.Different plasma compositions were used: He, He-O2(3%O2), He-H2O, Ar, Ar-O2(3%O2), Ar-H2O, and air withflow rates of 1,5 L/min. The AC electrical power varied from 10 W to 70 W.

Depending on the plasma treatment applied, variable amounts of gaseous fluorinated compounds like COF2and HF have been detected.They result from the degradation of the PTFE polymeric chains by energetic species created in the plasma. In a pure helium plasma, these species are mainly metastable helium and high energy electrons, capable of breaking C-C and C-F bonds, hence creating carbon and fluorine radicals on the surface. Those radicals will then react with surrounding gas species.

The addition of oxygen containing molecules in the gas mixture (H2O, O2) creates higher amount of COF2than without.The amounts observed of those three species is a direct measurement of the ability of the discharge to degrade the PTFE. The COF2is believed to originate directly from the PTFE degradation by atomic oxygen (O) (Vandecasteel et al.), whereas the HF should be formed by hydrolysis of COF2. With pure gases (He and Ar) plasma, some water and air impurities could still introduce oxygen atoms, hydroxyl groups and nitrogen containing groups.

We observed a drastic increase of the concentrations of fluorine species with the Ar-H2O plasma compared to the He-H2O plasma.

The XPS analyses show a defluorination of the PTFE surfacethat depends on the plasma composition and power, and in agreement with the production of COF2and HF detected by gas phase IR.

Acknowledgements:

This work is funded by the ARC project “COSMIC” and by the Michel Cremer foundation

PS-TuP-4 Effect of Additive Gas on Etch Profile Improvement during Dielectric Etching
Hyun Woo Tak, Seong Bae Kim, Chan Hyuk Choi, Seul Ki Kim, Sang Yeob Lee, Min Cheol Park, Dong Hyun Park, Dong Woo Kim, , Geun Young Yeom (Sungkyunkwan University (SKKU))

As the memory device sizes reach scaling limit, the device structures are changing from 2D to 3D device structure. For 3D device structures, it has been known that improving high aspect ratio (HAR) etching process is one of the most important processes in device manufacturing as it determines the density of the devices. To improve the etch properties such as selectivity and etched profiles, various etch techniques have been widely studied including changing process schemes and equipment. But still, changing etch gas is known to be one of the essential solutions in improving plasma etching results. Recently, it has been reported that the etch profiles of HAR etching can be improved by using WF6 as an additive material, but no detailed results and etch mechanism on the effect of metal-halide containing additive gas have not been reported. Therefore, in this study, the effects of metal-halide containing additives including WF6 on etching characteristics were investigated. It was found that HAR etch properties can be controlled and improved by using various additive gases containing carbon or fluorine. Therefore, the effect of various additive materials on dielectric etch properties will be reported for next generation HAR etching.

PS-TuP-5 Etch Characteristics IGZO and Chamber Cleaning using CxHyFz Gases
Jong Woo Hong, Dong Woo Kim (Sungkyunkwan University (SKKU)); Yu Gwang Jeong, Hyun Min Cho, Da Woon Jung, Yun Jong Yeo (Samsung); Geun Young Yeom (Sungkyunkwan University (SKKU))

Indium gallium zinc oxide (IGZO) is used in next-generation semiconductors and displays and requires anisotropic etch profile, fast etch rate, and high mask selectivity.In particular, as IGZO is used for gates in semiconductors and displays, the CD (critical dimension) is getting smaller and smaller, so highly anisotropic etching is crucial. Additionally, it is important to completely clean the etch residue in the chamber after IGZO is etched.In this study, IGZO was etched, and chamber cleaning was performed using various HFC-type gases (CxHyFz) in an ICP etcher. The results showed that mixing H and F-based gases was more effective in increasing the etch rate and etch selectivity of IGZO than using them alone. In fact, it is difficult to etch IGZO because zinc is easily etched by halogen gases, but it is found that the gases combined with C, H, and F make it easier to etch zinc. C3HxFy (x+y=8) gas was found to be better than CHxFy (x+y=4) gas, as it lowers the binding energy. In addition, by using a H2/Ar plasma, the etch by-products formed on the chamber sidewall by the etching using HFC-type gases (CxHyFz) could be effectivly removed.

PS-TuP-6 Molecular Dynamics Simulation of Vapor-Phase Nucleation of Metal Nanoparticles in a Reactive Plasma Atmosphere
Louis Hoffenberg, David Graves (Princeton University); Igor Kaganovich (Princeton Plasma Physics Laboratory)

Metallic nanoparticles (NPs) offer a wide range of applications in electronics, energy, biology, catalysis, and the production of carbon nanomaterials like carbon nanotubes (CNTs). Synthesis of NPs from the vapor phase using plasma methods such as spark discharge generation is promising for industrial scalability. The behavior of nucleating systems in reactive environments, especially reactive plasma environments, is understudied. Moreover, charge-induced dipole interactions between ions and neutrals are thought to play a key role in the nucleation, coalescence, and aggregation of NPs in dusty plasmas [1]. Because the lengthscales and timescales associated with vapor-phase NP formation are too small to effectively probe experimentally, a promising alternative is molecular dynamics (MD) simulation. MD can give strong insight into the nanoparticle formation process with its atomic resolution. In this work, we use MD simulations with a reactive forcefield to study iron vapor nucleation in the presence of ions, radicals, and molecular species relevant to the production of CNTs (i.e., H, H2, C, CH, CH2, CH3, CH4, C2, C2H, C2H2, and ions). The effects of atomic-scale phenomena on metal vapor nucleation and nanoparticle behavior in a plasma environment will be discussed.

[1] Girshick, Steven L. "Particle nucleation and growth in dusty plasmas: On the importance of charged-neutral interactions." Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films 38.1 (2020): 011001.

PS-TuP-9 Synthesis and Characterization of Antiviral (Doped)-TiO2 Coatings by an Atmospheric Pressure Dielectric Barrier Discharge
Adrien Chauvin (Université libre de Bruxelles/University of Mons); Mathilde Galais, Lionel Sauvage, Carine Van Lint, Anne Op De Beeck (Université libre de Bruxelles, Belgium); Rony Snyders (University of Mons, Belgium); Francois Reniers (Université libre de Bruxelles, Belgium)

The recent COVID pandemic raised the necessity to develop strategies to limit the contamination of human populations by viruses. Amongst the routes explored, the development of antiviral and antimicrobial surfaces is an elegant approach as it could represent a long term, passive (no need of chemicals or human action) and sustainable solutions. Although the antimicrobial action of copper surfaces is well known and documented (even in history books), it represents an expensive solution, and the potential toxicity of copper ions for cells can not be neglected. Titanium oxide, in its anatase phase, is known to possess strong oxidative properties, and is used as coating for “self cleaning” or “easy to clean” surfaces. It possesses strong antimicrobial properties. However, the main drawback is that anatase is only active when irradiated with UV light, which prevents the use of such surfaces in interiors. To circumvent this, nitrogen doped TiO2 coatings were developed using an atmospheric pressure dielectric barrier discharge, and exposed to a variety of coronaviruses, including the SarsCOV2.

Coatings were deposited by PACVD from TTIP in an Ar/O2/NH3 discharge (variable O2 and NH3 concentrations) at various substrate temperatures [1], using a new type of heating device [2,3]. They were characterized by XPS, Tauc plot (for the bandgap), and by SEM. Selected coatings were then put in contact with solutions containing coronaviruses and exposed to white light. Negative and positive controls were realized on fully inactive surfaces (plastic), or fully active surfaces (copper), respectively. It is shown that some surfaces exhibit an antiviral activity.

References :

[1] A. Chauvin et al, Surf.Coat.Technol, submitted

[2] A. Remy et al, Thin Solid Films (2019), 137437

[3] A. Remy, F. Reniers, EP3768048A1

Acknowledgements :

This work is funded by the special research program of the FNRS-Belgium “PER-Virusurf”, and by the ULB special COVID fund

PS-TuP-11 Stability Criteria for Radiofrequency Plasmas at Low Pressure*
Omar Alsaeed, Amanda M. Lietz (North Carolina State University); Benjamin Yee, Chenhui Qu, Meenakshi Mamunuru, Brett Scheiner (Lam Research Corporation)
Uniformity is critical in the plasma processing of semiconductors, and instabilities can give rise to destructive arcing, striations, and plasmoids that can permanently damage equipment or lead to scrapped wafers. The formation of self-organized striations in the direction parallel to the radiofrequency current in low-pressure, high-voltage plasmas has been experimentally reported1. We use a fluid description, typically called the nonlocal moment model2. The description uses the drift-diffusion approximation and importantly includes the thermoelectric electron energy transport coefficient which proves essential in describing the pattern formation mechanism3 and is shown to be critical for the evolution of plasmoids in planar capacitively coupled plasmas (CCPs)4. The operating conditions under which these striations occur are analyzed in a planar inductively and capacitively coupled argon plasma. This analysis will be extended to Ar with CF4 gas admixtures. The ionization rates and electron transport coefficients are derived from an electron energy distribution function calculated as a function of the reduced electric field using a multi-term Boltzmann equation solver, MultiBolt5 6. A key assumption of the nonlocal moment model is that transport coefficients and collisions frequencies in the inhomogeneous 1D model are a function of the average energy and bear the same relation as they do in a homogenous 0D Boltzmann equation solution at different values of the reduced electric field2. A linear stability analysis is performed to derive a stability criterion on the allowed values of the thermoelectric electron energy transport coefficient as a function of discharge parameters for stable plasma processing. Instability is more likely at higher electron temperatures which leads to the thermoelectric electron energy transport coefficient having positive enough values to destabilize the plasma. The application to practical plasma conditions and system operating parameters, such as pressure and power, is explored to identify process windows.
  1. Désangles, V., Jean-Luc R., Alexandre P., Pascal C., and Nicolas P., Phys. Rev. Lett. 123, 265001 (2019).
  2. Ingold, J. H. Phys. Rev. E 56, 5932 (1997).
  3. Mackey, D., L. Plantié, and M.M. Turner. Appl. Math. Lett. 18, 865 (2005).
  4. Bera, Kallol, Shahid Rauf, John Forster, and Ken Collins. J. of Appl. Phys. 129, 053304 (2021).
  5. Stephens, J. J. of Appl. Phys. 51, 125203 (2018).
  6. Flynn, M, A Neuber, and J Stephens. J. of Appl. Phys. 55, 015201 (2022).

* Work funded by the Lam Research Foundation.

PS-TuP-12 Cyclic Isotropic Etching of SiO2 using NF3/H2 Remote Plasma and Methanol Vapor
Ji Yeon Lee, Hong Seong Gill, Doo San Kim, Yun Jong Jang, Hae In Kwon, Gyoung Chan Kim, Dae Whan Kim, Dong Woo Kim, Geun Young Yeom (Sungkyunkwan University (SKKU))

The wet etchingwhich has been mainly used for the isotropic etching presents challeges in nano-patterns due to the inability to etch the bottom of the pattern or pattern leaning by surface tension. Therefore, the development of a dry etching process for next-generation semiconductor devices is necessary. Currently, the most widely used isotropic dry etching processes of SiO2 are the HF/NH3 vapor process and the NF3/NH3 plasma process. The conversion of SiO2 to an ammonium salt such as (NH4)2SiF6 occurs in these processes, and the desorption of the reacted compounds is achieved through a heating process. However, the formation of ammonium salts during NH3-based processes can produce solid powders that may contaminate the substrate and become particle sources in the chamber. Therefore, in this study, gas combinations without NH3 were used for the cylic dry etching of SiO2 over Si3N4. HF was formed using an NF3/H2 remote plasma, and methanol vapors were injected outside the plasma discharge region to eliminate F radicals produced by NF3 dissociation andinduce spontaneous etching of Si-based materials. Under the optimized conditions, the etching depth per cycle of SiO2 was about ~13 nm/cycle, and the selectivity with Si3N4 was over 50 and the selectivity with Si was over 20. Surface composition and bonding statewere analyzed to confirm surface damage and to investigate the etching mechanism.

PS-TuP-13 Etching Characteristics of Sion Films Using C2F4O/C2H2F2 with a Low Global Warming Potential
Seul Ki Kim, Hyun Woo Tak, Seong Bae Kim, Chan Hyuk Choi, Dong Woo Kim, Geun Young Yeom (Sungkyunkwan University (SKKU))

In the semiconductor industry, perfluorocarbon and hydrofluorocarbon gases are widely used as etching gases. However, some currently commercialized gases have a negative impact on the global environment due to their high GWP (global warming potential) values. Therefore, it is essential to replace those with gases with a low GWP and similar characteristics. In this study, evaluation was conducted to replace existing perfluorocarbon and hydrofluorocarbon gases with high GWP, such as CF4 and CHF3, with C2F4O and C2H2F2, which have a relatively high boiling point for easy recovery in a liquid state at room temperature and a low GWP, respectively. In the experiment, SiON (silicon oxynitride) film was etched using C2F4O/C2H2F2 plasmas in a CCP (capacitively coupled plasma) equipment and the etch rates of PR (photoresist) and SiON films, and PR/SiON selectivity were measured. The etch profiles were observed by SEM (scanning electron microscopy) and XPS (X-ray photoelectron spectroscopy) was used to analyze the surface characteristics of the sample after etching. The results showed that the plasma etching characteristics with mixed gases of C2F4O/C2H2F2 showed a higher PR/SiON selectivity and anisotropic SiON etching profile compared to those with conventional mixed gases of CF4/CHF3. Therefore, selective and anisotropic etching of SiON film masked with PR could be achieved with low global warming gas combination of C2F4O/C2H2F2. Details of experiments and analysis data will be reported in the presentation.

PS-TuP-14 Isotropic Dry Etching of SiO2 using NF3/H2 Pulsed Remote Plasma and NH3 Gas Injection
DaeWhan Kim, Hong Seong Gil, Hae In Kwon, Doo San Kim, Yun Jong Jang, Gyoung Chan Kim, Dong Woo Kim, Geun Young Yeom (Sungkyunkwan University (SKKU))
These days, for the isotropic etching of nanoscale device fabrication, due to the problems such as pattern collapse to surface tension, difficulty in controlling etch depth, nonuniform etching, etc., dry isotropic etching technology that can replace wet etching is actively investigated. For high selectivity SiO2 isotropic dry etching, NF3/NH3 remote plasma is generally used to react with SiO2 to form (NH4)2SiF6 which is sublimated and removed through a heating process of 100℃ or higher. However, the process forming (NH4)2SiF6 on the surface of a wafer by NF3/NH3 remote plasma has the disadvantage of lowering selectivity of SiO2 over Si or Si3N4 because Si and Si3N4 are etched by F radicals transported to the wafer form the remote plasma source. in this study, the F radicals transporting to the wafer surface was controlled bt inducing to form HF by discharging NF3/H2 in a pulsed remote plasma. (NH4)2SiF6 was formed on the surface of SiO2 by supplying NH3 to the outside of the plasma discharge region, and then (NH4)2SiF6 was removed through heat treatment. through this method, SiO2 could be selectively etched at a higher etching rate than Si and Si3N4. Etching mechanism by the puled remote plasma source was identified through plasma analysis and surface analysis, and possible surface damage during the etching process was also analyzed.
PS-TuP-15 Etched Characteristics of Nanoscale Tio2 Using C4F8-Based and Bcl3-Based Gases
Nam Il Cho, Jong Woo Hong, Hee Ju Kim, Da Eun Ji, Dong Woo Kim, Geun Young Yeom (Sungkyunkwan University (SKKU))
TiO2 is a material used in various fields such as electronics, optics, environment, etc. For the application to electronic and optical devices, TiO2 needs to be patterned using reactive ion etching using halogen-based gases. In this study, a TiO2 masked with ACL pillar pattern was etched using two types of gases such as C4F8-based (C4F8/SF6/Ar) and BCl3-based (BCl3/CF4/Ar), and the effect of etch gas and asynchronous pulsing (separated source power ON time and bias power ON time in a pulse period) on the etch properties such as etch rates, etch selectivity, and aspect ratio dependent etching (ARDE) were investigated. The use of asynchronous pulsing with decreased bias pulsing ratio (high source power ON time and low bias ON time in a pulse period) improved the etch selectivity and ARDE possibly due to the more similar etch environment among different aspect ratio TiO2 features. The use of BCl3-based plasma showed higher etch selectivity over ACL compared to C4F8-based plasma, however, it showed the lower etch rate and more severe ARDE due to the higher stickiness of Cl compared to F to the sidewall during the etching of high aspect ratio TiO2.
PS-TuP-16 Study on Atomic Layer Etching of Molybdenum by Formation of MoClx/MoOxCly
Do Seong Pyun, Ji Yeon Lee, Dae Whan Kim, Yun Jong Jang, Doo San Kim, Hae In Kwon, Hong Seong Gil, Gyoung Chan Kim, Ju Yeong Kim, Geun Young Yeom (Sungkyunkwan University (SKKU))

Do Seong Pyun1, Ji Yeon Lee1,Dae Whan Kim1, Yun Jong Jang2, Doo San Kim2, Hae In Kwon2, Hong Seong Gil2, Gyoung Chan Kim2, Ju Young Kim2 and Geun Young Yeom2,3

1Department of Semiconductor Display Engineering, Sungkyunkwan University, Suwon 16419, Korea

2School of Advanced Materials Science andEngineering, Sungkyunkwan Universtiy, Suwon, Gyeonggido 16419, Republic of Korea.

3SKKU Advanced Institute of Nano Technology (SAINT), Sungkyunkwan Universtiy, Suwon, Gyeonggido 16419, Republic of Korea.

To improve the integrity and performance and to reduce the power consumption of semiconductor, the line width between patterns of interconnects has been shrunk continuously. In order to solve this challange, Cu is currently used as an interconnect material with a barrier material in a damascene structure because it has low resistivity. However, Cu also shows a rapid increase of resistivity in a critical dimension (CD) of less than 10 nm due to long mean free path in addition to a limitation in scaling down due to the requirement of a barrier material. Therefore, the importance of new materials such as Mo, Ru, Co, etc. to substitute Cu has been increased to solve this problem. In this experiment, atomic layer etching (ALE) of Mo has been carried out by using O2/Cl2 gases as adsorption process and Ar+ ion beam for desorption process. By using ICP-type ion beam for desorption step, fine control of the ion energy was possible during the ALE. In the adsorption step, by using O2/Cl2 plasmas, the Mo surface was modified into MoClx and MoOxCly. In the desorption step, the modified Mo surface such as MoClx and MoOxCly was removed using an Ar+ ion beam. After the ALE process, physical and chemical analysis of the Mo surface was performed using X-ray photoelectron spectroscopy (XPS), atomic force microscope (AFM), etc.

PS-TuP-17 Modeling the Impact of Electronegativity in Capacitively Coupled Argon/Oxygen Discharges with Tailored Voltage Waveforms
Sk Azmaeen Bin Amir, Syed M. Zulqarnain (North Carolina State University); James Prager, Timothy Ziemba (Eagle Harbor Technologies); Amanda M. Lietz (North Carolina State University)

Recent developments in power supply technology have made a greater variety of custom waveforms achievable at a scale relevant to semiconductor processing. These custom waveforms provide additional degrees of freedom that can be used to control the ion energy distributions. Dual-frequency capacitively coupled plasmas (CCPs) are often used with a sinusoidal high frequency to generate the plasma and a low-frequency custom waveform to accelerate the ions. Many etching processes use electronegative gases, introducing negative ions which have much lower mobility than electrons and altering the plasma dynamics and sheath behavior.

In this work, we explore the impact of oxygen and the resulting negative ions on the plasma dynamics and the control of the ion energy distribution for dual-frequency CCPs. The focus is on regimes of interest for atomic layer etching (ALE), where the desired ion energy is relatively low (< 100 eV), but must be more precisely controlled. EDIPIC, a particle-in-cell Monte Carlo Collision (PIC-MCC) model, is used to model the plasma in a one-dimensional simulation. A high-frequency (60 MHz) sinusoidal voltage is applied to the upper electrode, and the tailored voltage waveform is applied to the lower electrode at 400 kHz. In this case, the tailored waveform is a triangular positive pulse, with a negative voltage applied in the interpulse period.

The changing plasma dynamics with the varying Ar/O2 mixtures will be discussed, as well as the impact of the amplitude of the custom waveform. Variations in the waveform, such as the duration of the positive pulse and the magnitude of the negative voltage, may also be explored. The impact on the ion angular and energy distribution, as well as the electric field dynamics in the sheath during the pulse and the role of secondary electron emission, are discussed. In particular, narrow ion energy distributions with a tunable energy are desirable for ALE, with a minimum flux of high energy ions, as these may cause etching that is not self-limiting and destroy the atomic precision.

PS-TuP-18 Kinetic Modeling of Dual-Frequency Capacitively Coupled Argon Discharges Using Tailored Voltage Waveforms
Syed M. Zulqarnain (North Carolina State University); James Prager, Timothy Ziemba (Eagle Harbor Technologies); Amanda M. Lietz (North Carolina State University)

As microelectronics technologies become more advanced, high aspect ratio (HAR) etching has become more challenging in recent years. In this work, plasma simulations are used to better understand industrial capacitively coupled plasmas (CCPs) with the goal of controlling ion energies and ion-radical flux ratios using tailored voltage waveforms. The use of a dual-frequency CCP enables high-quality HAR etching by controlling the ion energy and angular distributions. A regime in which ion flux and energy can be more independently controlled is accessed by applying two different frequencies of applied voltage, and tailoring the voltage waveforms can control ion energy and angular distributions. To achieve narrow ion energy and angular distributions, high voltages and low pressures are typically applied. As a result, fluid and hybrid models become inaccurate, and a kinetic approach is required. We investigate the dynamics of low-pressure (7 mTorr) dual-frequency argon plasmas in a 5 cm gap between electrodes using EDIPIC, a Monte Carlo collision-based particle-in-cell (PIC/MCC) simulation. In this work, we introduce the electrical asymmetry effect by tailoring voltage waveforms at the lower electrode (i.e., the wafer). The triangular pulsed tailored voltage waveform of low frequency (400 kHz) is applied to the lower electrode and sinusoidal high frequency (60 MHz) on the upper electrode. The effects of changing the peak-to-peak voltage of the driving voltage waveforms on the ion average energy, ion velocity, and secondary electron yields at the surface of the electrode are discussed. We found that increasing the amplitude of the low-frequency waveforms (100-1000 V) resulted in a tens of eV increase in the average ion energy in bulk regions and a significant increase in ion energy reaching the electrode surface (100-500 eV). Conversely, increasing the peak-to-peak voltage of high-frequency waveforms led to an increased density of bulk plasma but did not significantly change the average ion energy. Furthermore, inclusion of secondary electron emissions (SEE), using energy-dependent SEE yields, resulted in increased electron collisions with the electrodes due to the low-energy electrons emitted from the electrodes. Additionally, during the positive pulse from the low-frequency voltage waveform, electrons only collided with the low-frequency electrode with a slight decrease in ion collisions, which springs back as the positive cycle relaxes.

PS-TuP-19 Patterning of Magnetic Tunnel Junction (MTJ) using RF-biased Reactive Ion Beam Etching
Kyoung Chan Kim, Doo San Kim, Yun Jong Jang, Hong Seong Gil, Hae In Kwon, Ju Young Kim, Geun Young Yeom (Sungkyunkwan University)
Materials such as CoFeB and MgO are used in the Magnetic Tunnel Junction (MTJ) layer of MRAM devices. These materials are mostly used to make small-sized MRAM devices, and ion beam etching (IBE) is used to etch these materials. However, when using the ion beam etching method is used to etch the MTJ layer, hard mask materials or/and materials in the MTJ layer are also etched, leading to the re-deposition of byproducts on the side walls between nano-sized patterns as well as problems with non-vertical etching. To remove these problems, the MTJ layer of the MRAM device is generally etched at a slant angle by tilting the substrate from the ion beam to remove the redeposited layer on the side of the MTJ structure. However, as the distance between the MTJ structures is decreased to nanoscale, it is difficult to tilt the substrate for the removal of the redeposited sidewall byproducts due to the narrow width of the nanoscale patterns. To solve these issues, in this study, H2/NH3 reactive ion etching with RF biasing was introduced to etch MTJ layer without tilting the substrate. The MTJ layer was etched through an RF-biased ion beam, and the etch profile, sidewall re-deposition, and etch characteristics were compared with a general reactive ion beam.
PS-TuP-21 Production of Argon Metastable Species in an Electron Beam Generated Plasma
Vighneswara Siva Santosh Kumar Kondeti, Nirbhav Chopra, Shurik Yatom, Yevgeny Raitses (Princeton University Plasma Physics Lab)

Electron beam (e-beam) generated plasmas can produce desirable species for material processing applications by leveraging control of electron energy, while simultaneously maintaining a low electron temperature.1 In particular, e-beam generated plasmas can be used to produce low energy ions in the periphery of the primary electron beam.2 This production is enabled by the presence of metastable species in the discharge periphery. In this work, we measured the absolute density of argon (1s5) metastable density in an e-beam generated ExB secondary electron emission plasma source by laser induced fluorescence. We used a Langmuir probe to obtain the electron temperature and density. The measured electron density and Ar(1s5) densities were on the order of 1016 m-3, while the electron temperature was less than 1eV. The electron density and the Ar(1s5) density reduced, while the electron temperature remained constant as a function of distance from the center of the discharge. A one-dimensional continuity equation model showed that there is continuous production of argon metastables even outside the core plasma region. Two distinct regions were identified in the spatial profile of Ar(1s5) level inside and outside the core plasma region. These results suggest that there is an additional source of production of Ar(1s5) in the core of the plasma in addition to the direct excitation by electrons from the ground state of argon.

Acknowledgement: This work was supported by the U.S. Department of Energy through contract DE-AC02-09CH11466.


1 Lock E, Fernsler R and Walton S, Plasma Sources Science and Technology, 17 025009 (2008).

2 Walton S G, Boris D R, Hernández S C, Lock E H, Petrova T B, Petrov G M and Fernsler R F, ECS Journal of Solid State Science and Technology, 4(6) N5033 (2015).

PS-TuP-22 Effect of Plasma Nitrided Layer on the Deflection of Biopsy Needles
Hideaki Kuwabara, Takao Yamauchi, Petros Abraha (Meijo University)
Biopsy needle deflection refers to the bending or flexing behavior exhibited by biopsy needles when subjected to external forces during medical procedures. The extent of deflection is influenced by various factors, including needle diameter, length, material, geometry, and applied forces. The addition of a nitride layer, such as titanium nitride (TiN) or silicon nitride (Si3N4), has been reported to alter material properties, such as modulus of elasticity and hardness, impacting the deflection characteristics of biopsy needles. The objective of this research is to treat biopsy needles in an electron beam-induced plasma and diffuse neutral species of nitrogen into the needle surface creating a nitrided layer and investigating its effect on the deflection of biopsy needles. Both experimental testing and finite element analysis (FEA) are utilized in this study.In our experiments, we used neutral nitrogen species from electron beam-induced plasma to diffuse into the stainless steel surface of the biopsy needle, resulting in the formation of the nitrided layer. This process did not involve adding any material to the needle's surface. Additionally, FEA was employed to create a virtual model and analyze the deflection behavior of the biopsy needles under different loading scenarios. The results of our parametric studies, focusing on the impact of nitrided layer thickness on needle deflection, will be presented. These findings will be compared to the experimental testing conducted using a custom-designed setup. By combining experimental and numerical approaches, we aim to gain a comprehensive understanding of the effects of the nitride layer on biopsy needle deflection.
PS-TuP-24 Understanding 3D Grayscale Pattern Transfer: A Plasma Etching Parametric Study for Optoelectronic Devices
Assia SELMOUNI, Aurélien TAVERNIER, Api WARSONO, Sébastien BERARD-BERGERY, Nicolas POSSEME (Univ. Grenoble Alpes, CEA, Leti)

The latest promising optoelectronic devices require increasingly complex three-dimensional structures made of specific materials that meet optical, mechanical and ageing requirements. These structures are diverse, for instance: microlenses are needed for image sensing devices in the visible and infrared range [1] while multi-height structures are used in diffractive optics [2]. In this study, these different shapes are patterned in a photoresist layer before being transferred into a non-photosensitive polymer layer by plasma etching. This can cause profile deformation and roughness that may affect device performances.

The experimental setup consists of a low contrast photoresist patterned using Grayscale I-Line lithography [3]. This innovative technique uses a binary mask to allow spatial variation of the light intensity transmitted on the resist surface during the exposure step. Exposing a low contrast resist at different doses results in different thicknesses in the resist film. This allows industrial production of various 3D shapes through a single lithography step. The resist structures are transferred into a non-photosensitive polymer layer using CF4 chemistry in a 300 mm Capacitively Coupled Plasma (CCP) industrial chamber (Tokyo Electron VigusTM). Samples are characterized using ellipsometry, X-ray Photoelectron Spectroscopy (XPS), 2D and 3D Atomic Force Microscopy (AFM), Scanning Electron Microscopy (SEM) and Transmission Electron Microscopy Energy-Dispersive X-ray spectroscopy (TEM EDX).

To understand the impact of plasma etching on roughness and profile deformation, a parametric study is conducted focusing on multi height and microlens structures.
First, we propose etching mechanisms based on the variation of RF power, pressure and plasma chemistry. For instance, our study revealed that increasing high frequency power led to a rougher surface and shape deformation of microlenses as shown by SEM images displayed in figure 1. XPS analysis on etched blanket wafers show a flurorocarbon layer with significant increase in fluorine content at high power. TEM EDX and 3D AFM analysis will also be discussed to support proposed etching mechanism.

Finally, a second part presents means for process optimisation to achieve smooth and conform shapes. For example, chuck temperature increase during microlens etching, illustrated through SEM images in figure 2, has proved to be a simple way to limit edge roughness without significant shape deformation.

[1] L. C. P. Gouveia and B. Choubey, Sensor Review 36(3), pp. 231-239 (2016)
[2] N. Gerges et al., J. Vac. Sci. Technol. B, 39 (6), pp.062602 (2021)
[3] P. Chevalier et al., Proc. SPIE, 109581E (2019)
View Supplemental Document (pdf)
PS-TuP-25 Monocrystalline III-Nitride Films Grown on Sapphire Substrates at 200 °C via Hollow-Cathode Nitrogen Plasmas
Narmin Ibrahimli, Ilhom Saidjafarzoda, Adnan Mohammed, Necmi Biyikli (University of Connecticut)

Research efforts on low-temperature (T < 300 ℃) synthesis of crystalline GaN thin films using plasma-assisted ALD utilized various reactor configurations featuring different plasma sources. While our early GaN growth experiments using quartz-based ICP sources resulted in nanocrystalline/amorphous films with elevated oxygen impurities, stainless-steel based hollow-cathode plasma sources revealed polycrystalline GaN films on Si(100) and sapphire substrates. Upon further modification of the hollow-cathode plasma source and reactor chamber design, in this work, we share our experimental findings on the epitaxial growth efforts of GaN,AlN, and InN using hollow-cathode plasma-assisted ALD (HCP-ALD) at 200 ℃.

The films were deposited using metal-alkyl precursors (triethylgallium, trimethylaluminum, trimethylindium) and various nitrogen plasmas (N2/H2, N2-only, N2/Ar, and N2/H2/Ar) at 200 °C substrate temperature and 50 – 150 W RF-power range. In-situAr-plasma annealing cycles were also employed and tested for the binary III-nitride films to enhance the surface crystallization process. In-situellipsometry and optical emission spectroscopy (OES) were employed to monitor the surface ligand-exchange reactions, plasma surface interactions, and reaction byproducts in real-time. Ex-situspectroscopic ellipsometry measurements revealed the growth-per-cycle (GPC) and optical properties of the III-nitride films. When compared to reference films grown on Si(100) substrates, GPC values obtained for III-nitride films on sapphire substrates showed a notable increase. For GaN samples, grazing-incidence XRD (GIXRD) measurements revealed single-phase hexagonal polycrystalline films on Si(100) substrates while GaN/sapphire samples exhibited no crystal peaks at all. Rocking curve XRD scans displayed a strong single (002) peak, confirming the monocrystalline epitaxial character of the synthesized GaN films on sapphire substrates. We attribute this significant improvement in crystal quality to the synergistic impact of a customized HCP-ALD reactor, large-diameter third-generation hollow-cathode plasma source, and optimized growth conditions (plasma gas mixture, rf-power). With further improvement in film properties, we aim to achieve device-quality electrical properties that can be used for logic, memory, power, and sensing applications.

View Supplemental Document (pdf)
PS-TuP-27 Ion Energy Control in a Capacitively Coupled Plasma with a High Voltage Custom Waveform Bias
Timothy Ziemba, James Prager, Josh Perry, Kevin Muggli (Eagle Harbor Technologies, Inc.)

Producing high-aspect-ratio (HAR) features with plasma etching is becoming increasingly important as the market demands solid-state non-volatile memory storage. In order to minimize bowing and twisting defects in HAR features, precision control of the ion energy distribution (IED) is required. Eagle Harbor Technologies (EHT), Inc. has previously developed a Rapid Capacitor Charger power system that can charge capacitance to high voltage in tens of nanoseconds and operate at 400 kHz. In this work, EHT is investigating ion energy control with these high-voltage custom waveforms. The experimental test chamber includes a 60 MHz capacitively coupled plasma source and a pedestal that can be biased using the Rapid Capacitor Charger waveforms. EHT will present the chamber modifications, retarding field energy analyzer details, and IED measurements. Based on these measurements, the next steps will be discussed.

PS-TuP-28 Cryogenic Aspect Ratio Etching of SiO2 at CF4/H2/Ar Plasma in a Cryogenic Reactive Ion Etch System
Hee Tae Kwon, In Young Bang, Jae Hyeon Kim, Hyeon Jo Kim, Seong Yong Lim, Seo Yeon Kim, Seong Hee Jo, Ji Hwan Kim, Woo Jae Kim, Gi Won Shin, Gi-Chung Kwon (Kwangwoon University, Republic of Korea)

In the manufacturing processes of 3D NAND, the high aspect ratio contact (HARC) etching process, which is one of the most critical steps, has encountered a significant challenge. HARC, typically performed at room temperature, has become increasingly difficult to achieve the desired high aspect ratio while maintaining high productivity. This challenge is expected to become harder when considering devices with highly stacked alternating layers of silicon-containing materials, such as SiO2 and SiN. Therefore, cryogenic HARC technology has emerged as a promising solution to overcome this challenge, as it offers advantages in terms of productivity and better etch profile. Consequently, we conducted cryogenic aspect ratio etching of SiO2 at CF4/H2/Ar plasma in a cryogenic reactive ion etch system. Overall, our results revealed that cryogenic aspect ratio etching of SiO2 showed a higher etch rate and a higher aspect ratio under the experimental conditions. With these conditions, we conducted the cryogenic aspect ratio contact etching of SiO2 for the comparison with the etching of SiO2 at RT as well.

PS-TuP-29 in-Situ Electron Density Measurement in Inductively Coupled Plasma Using Microwave Reflectometer
Jae Hyeon Kim, Woo Jae Kim, Gi Won Shin, Hee Tae Kwon, Ji Hwan Kim, In Young Bang, Seong Yong Lim, Hyeon Jo Kim, Seo Yeon Kim, Seong Hee Jo, Gi-Chung Kwon (Kwangwoon University, Republic of Korea)

Low temperature plasma has been widely used in semiconductor manufacturing process. The characteristics of low temperature plasma that are important in semiconductor manufacturing include electron density, electron temperature and electron energy distribution. Specifically, electron density is important to understand plasma physics and plasma process. The electron density is commonly measured using a Langmuir probe. The probe inserts a tip into plasma and voltage is applied to the probe. Charged particles in plasma move to the probe and current generated by the movement is measured to determine plasma density. However, the measured signals interfere with radio frequency and plasma perturbation by the probe distorts the plasma characteristics.

In this study, plasma density was measured using microwave reflectometer without plasma perturbation. The microwave signal radiated by Wi-Fi antenna is reflected by the plasma and collected through the antenna. The electron density measured by analyzing a phenomenon arising from the correlation between the microwave and plasma angular frequencies. The plasma angular frequency is derived using the reflection or transmission phenomenon of microwaves, which depends on the refractive index of the plasma. The refractive index of a plasma N is related to the microwave angular frequency ω and the plasma angular frequency wave ωp, i.e. N = (1 − ωp/ω)1/2. The plasma angular frequency depends only on the plasma density as ωp=(nee20me)1/2. When the microwave frequency is equal to plasma frequency, the refractive index of the plasma becomes zero and the reflection becomes maximum. The S11 parameter is calculated by measuring the intensity of the reflected signals on the frequency sweep. The reflectivity was analyzed by measuring the difference between the S11 parameter before and after plasma discharge.

In this experiment, 13.56 MHz RF power source was used in a 300 mm inductively coupled plasma chamber. The applied RF power was 200, 400 and 600 W. The intensity of the reflected signal from the plasma was measured using mixer and RMS to DC converter.
PS-TuP-30 Localized Deposition of Coatings Using Immobilized Streamers of a DBD: Interplay between the Electrode Gap and the Precursor Flow on the Coating Chemistry
Marie Brabant (Université libre de Bruxelles, Belgium); Annaelle Demaude, David Petitjean, François Reniers (Université Libre de Bruxelles)

Deposition of patterned coatings to generate hybrid surface properties often require a multi-step process, such as the use of masks or lithography [1]. We proposed recently a simple scalable method for the deposition of patterned coatings (morphological and chemical contrasts) [2]. As a case study, the deposition of propargyl methacrylate (PMA) based-coatings was realized, as, due to its structure (one double and one triple bond), this molecule allows very fast deposition, and can lead to hydrophobic coatings, without the need of fluorinated atoms. Moreover, we showed that, depending on the deposition conditions, one could obtain hybrid hydrophilic/hydrophobic patterns. In a recent paper, we showed that pulsing the power (in the ms range) leads to more localized filaments, to a global change in the plasma behaviour and to a change in the coating chemistry [3].

In this poster, we investigate the interplay between the interelectrode gap and the precursor gas flow on the overall plasma discharge and on the resulting (localized) coating. The plasma discharge is characterized by a high speed camera, and mass spectrometry, whereas the coating is characterized using micro-XPS and infrared spectroscopy in IRRAS mode. We show that increasing the gap necessitates an overall higher power to sustain the plasma, resulting in a higher voltage (according to Paschen Law) that leads to a global higher electron energy in the filaments. Such higher power injected into the discharge induces surface discharges between the immobilized filaments. In parallel, increasing the precursor gas flow leads to a higher luminosity in the inter-filament space. The coating thickness and chemistry is similarly influenced by the gap and the precursor flow. Increasing the gap and precursor flow lead to an increase in the coating diameter under the filaments but the thickness of the spots decreases when increasing too much gap. A better preservation of the chemical functionalities of the precursor inside the coating deposits is obtained for higher gas flows and low gaps, which is correlated with the mass spectroscopy analysis of the plasma phase. Results are interpreted in terms of the evolution of the Yasuda parameter.

References :

[1]. A. Demaude, C. Poleunis, E. Goormaghtigh, P. Viville, R. Lazzaroni, A. Delcorte, M. Gordon, and F. Reniers, Langmuir, 2019, 35, 9677−9683.

[2] A. Demaude, K. Baert, D. Petitjean, J. Zveny, E. Goormaghtigh, T. Hauffman, M. Gordon, and F. Reniers, Advanced Science. 2022, 2200237.

[3] A. Demaude, M. Brabant, D. Petitjean, M. Gordon, F. Reniers, Plasma Chemistry and Plasma Processing, 2023. https://doi.org/10.1007/s11090-023-10355-6

PS-TuP-31 the Effect of Rf Bias Frequency on the Ion Energy Distribution in Ultra-Low Electron Temperature Plasma
Chang-Min Lim, Min-Seok Kim, Junyoung Park, Chin Wook Chung ('Department of Electrical Engineering, Hanyang University)
The ion energy distributions in an ultra-low electron temperature (ULET) plasma (Te < 0.5 eV) are expected as follows: The mean ion energy is closed to Vdc since Vp is nearly 0, and the energy dispersion of the ion energy distribution (ΔEi) is much narrower. In this study, we measured the ion energy distributions in ULET plasma and ICP at bias frequencies of 27.12 MHz and 60 MHz. It is observed that when Vdc ranged from 0 to -40 V, the mean ion energy of ULET plasma is slightly greater than Vdc. Furthermore, the ion energy distributions in the ULET plasma have narrower ΔEi, which is a monoenergetic distribution compared to those in a conventional bias ICP.
PS-TuP-32 Changes in Ion Density and Electron Temperature Over Time Due to Bias Power
Park Sung-Joon, Eo Hyundong, Chung Chin-Wook (Department of Electrical Engineering, Hanyang University)

In an inductively coupled plasma (ICP), we measured the electron temperature and ion density over time while applying RF bias power. We observed rapid temporal changes on the order of seconds using a higher time resolution probe (approximately 0.2 seconds). The experiment involved adjusting the antenna power and bias power. Additionally, we installed two types of probes for measurement, one at the plasma bulk and the other at the biased electrode. We noticed that density changes occurred very rapidly, within a few seconds, due to the electron charging effect in response to the bias power. Furthermore, the trends in electron temperature and ion density are similar when the RF bias powers are changed at both positions.

PS-TuP-33 On the Method of Dielectric Thickness Measurement by Using Three Frequencies
Hyeon ho Nahm, Ho won Lee, Beom jun Seo, Chin wook Chung (Department of Electrical Engineering, Hanyang University)

Optical emission spectroscopy (OES) is a widely used technique for noninvasive plasma measurement. However, the deposition of polymers on the viewport can lead to a reduction in light intensity, even under the same experimental conditions (e.g., pressure, power). It can be useful to measure the thickness of the deposition layer to compensate for the reduction in light intensity.

We applied three different voltages to an electrical probe to obtain deposition layer impedance. In an equivalent circuit model, the plasma sheath can be regarded as a parallel circuit of sheath resistance and sheath capacitance, representing displacement current and conduction current, respectively. The deposition layer can be considered as a dielectric film. By solving three equations derived from three voltages of different frequencies, we calculated the capacitance of the dielectric and determined its thickness.

However, two problems arose. First, due to the voltages applied to the probe, the floating potential shifted, distorting the plasma sheath resistance. Second, a significantly high impedance ratio between the plasma sheath and deposition layer made it challenging to obtain the thickness of the deposition layer. Therefore, we modulated the amplitude of the applied voltages to minimize distortion and decreased the frequencies to increase the dielectric impedance. Consequently, the error in the measured deposition layer thickness could be greatly reduced.

PS-TuP-34 Etching Characteristics of NF3 and F3NO at Reactive Ion Etching Plasma for Silicon Oxide
Woo Jae Kim, Seong Hee Jo, Hee Tae Kwon, Gi Won Shin, Ji Hwan Kim, In Young Bang, Seong Yong Lim, Jae Hyeon Kim, Hyeon Jo Kim, Seo Yeon Kim, Gi Chung Kwon (Kwangwoon University)

Nitrogen-trifluoride (NF3) is mainly used as a reactive gas in cleaning and etching process in the semiconductor and display fabrication. However, NF₃ is a greenhouse gas with a high potential for global warming. As regulations related to the green index are getting stronger worldwide, the need for gas to replace NF3 is increasing.

In this study, an experiment was conducted on F3NO gas as a gas to replace NF3. The plasma etching process was similarly diagnosed by RGA and OES, and the etch rate was calculated by measuring the refection. The etch rate of silicon oxide during F3NO/Ar plasma etching is approximately 94% of that for NF3/Ar plasma etching. The RGA and OES measurements confrmed that more O+, NO+, and O2+ ions were generated in the F3NO plasma than in the NF3 plasma.

PS-TuP-35 Investigation of Transient Phenomena of Electron Heating in Low-Frequency Pulse-Driven Capacitively Coupled Ar Plasmas Using a Particle-in-Cell Simulation
Seoi Choi, Hae June Lee (Pusan National University)

Pulsed capacitive RF plasma is demanded for the semiconductor etching because it mitigates plasma-induced damage. Repeating pulse-on and pulse-off time lowers ion energy and electron temperature compared to a continuous wave plasma, resulting in less wafer damage. This study performed a pulse-driven two-dimensional (2D) particle-in-cell (PIC) simulation parallelized with a graphics processing unit (GPU) to investigate the plasma dynamics for the time duration of ms. We investigated the effects of a 2D electrode structure empowered low-frequency (LF) pulsed voltage added to a high-frequency (HF) sinusoidal wave on the plasma potential, electron density, and electron temperature by varying frequency and pressure conditions. Plasmas diffuse for a sufficiently long afterglow period in the LF pulse and re-ignited from a lower electron density when the pulse is on again. The electron heating mechanisms are reported for the ramp-up and ramp-down phases at different frequencies.

View Supplemental Document (pdf)
PS-TuP-37 An Arrival Time Difference-Based Elimination Method of Cavity Resonances for Crossing Frequency Determination of the Cutoff Probe
Chulhee Cho, Sijun Kim, Woo been Lee (Chungnam National University); Byung-Keun Na (Korea Institute of Fusion Energy); You bin Seol, Youngseok Lee, Inho Seong, Wonnyoung Jeong, Minsu Choi, Byeong yeop Choi, Seong hyun Seo, Shinjae You (Chungnam National University)

The crossing frequency method of the cutoff probe, which is composed of radiating and detecting tips, has been recently developed for electron density measurement. However, this method faces challenge in accurately deducing electron density due to cavity resonances, which is caused by the standing wave resonance between the chamber wall and the cutoff probe. In this research, we propose an arrival time difference-based elimination method. As the cavity resonance occurs later in time compared to the directly transmitted between two tips, cavity resonance can be eliminated by adjusting the signal detection time. On the contrary with a conventional frequency sweeping system, we established a ns-pulse radiation and detection system, which can convert signals in time domain to that in frequency domain, so-called S21 by using Fast Fourier transform. As a result, by adjusting the detection time, the cavity resonance peaks in S21 spectrum were eliminated. By using this method, the crossing frequency can be clearly determined under wide range of chamber pressure and plasma density environments. This research not only addresses the challenges associated with high-pressure plasma measurements but also presents a reliable technique for obtaining precise electron density insides a cavity structure such as a vacuum chamber.

PS-TuP-38 A Global Model with Monte Carlo Collision Method in Sheath for Capacitively Coupled Ar Plasma
Inho Seong, Sijun Kim, Youngseok Lee, Youbin Seol, Chulhee Cho, Wonnyoung Jeong, Minsu Choi, Byeongyeop Choi, Seonghyun Seo, Woobeen Lee, Shinjae You (Chungnam National University)

In conventional a global model, ion losses have been considered as the average of sheath voltages, without accounting for the ion energy distribution, making it inaccurate. In this research, we propose a global model with Monte Carlo Collision (GM/MCC) method for Ar capacitively coupled plasma (CCP). The input parameters in the GM/MCC are voltage and current waveforms of the powered electrode. Referenced on a 13.56 MHz Ar CCP source, we compared the electron density calculated through the GM/MCC with that measured by the cutoff probe and as a result, the discrepancy ranges from 30% to 70%. Hence, the GM/MCC is a simple-and-accurate model to analyze a conventional CCP source. Besides, the GM/MCC can be employed as a plasma monitoring sensor accompanied with voltage/current probe of the powered electrode in a CCP source.

PS-TuP-39 Control of Radical Density Through Modulation of Electron Energy Probability Function in a Dual-Frequency (2/27.12 MHz) Inductively Coupled Plasma
Yeong Jae Jeong (Department of Electrical Engineering, Hanyang University, Seoul); Un Jae Jung, Chin Wook Chung (Department of Electrical Engineering, Hanyang University)
The effects of 2 MHz and 27.12 MHz power on the electron energy probability functions (EEPFs), electron temperature (Te), absorbed power of plasma, and radical density were experimentally investigated in a dual frequency inductively coupled oxygen plasma. The RF powers are simultaneously applied to two parallel connected antennas. The EEPFs are investigated as a function of pressure and power. Experimentally, it was observed that electron heating and the EEPFs change with pressure and driving frequency. Through the effect of each driving frequency on the EEPFs, we achieved control over the radical density. These results have the potential to control radical density by changing the dual frequency RF power.
PS-TuP-40 Effects of a Non-Sinusoidal Rf Field on Multipactor Discharge in a Parallel Plate Geometry
Asif Iqbal, De-Qi Wen, John Verboncoeur, Peng Zhang (Michigan State University)

Multipactor [1] is a nonlinear discharge phenomenon occurring in RF and microwave components and systems in which a high frequency RF field creates an electron avalanche sustained through secondary electron emission [2] from metallic or dielectric surfaces. It can be detrimental to RF devices creating problems [1,3] such as the breakdown of dielectric windows, erosion of metallic structures, melting of internal components, etc. In addition, multipactor can often detune RF systems, cause multi-tone coupling and signal distortion, limit the transmission or delivery of RF power [1,3].

This work presents a study of two-surface multipactor discharge in a parallel plate geometry induced by a non-sinusoidal Gaussian-type RF field waveform [4,5]. We employ 3D electromagnetic Particle in Cell (PIC) simulations using Computer Simulation Technology (CST) Particle Studio [6,7], and Monte Carlo (MC) simulations [6,7] to examine the effects of RF amplitude and the half peak width of the Gaussian-type electric field on multipactor susceptibility and time dependent physics [4-7].

The threshold peak RF voltage and the threshold time-averaged RF power per unit area for multipactor development increase with a Gaussian-type electric field compared to those with a sinusoidal electric field. The threshold peak RF voltage and RF power for multipactor increase as the full width at half maximum and/or half minimum (FWHM) of the Gaussian profile decreases. Expansion of multipactor susceptibility bands is observed compared to the sinusoidal RF operation. A high initial seed current density is found to shrink the multipactor susceptibility bands in the presence of space charge. The effect of space charge on multipactor susceptibility decreases as the FWHM of the Gaussian profile decreases.

  1. J. R. M. Vaughan, IEEE Trans. Electron Devices, vol. 35, no. 7, pp. 1172–1180, 1988.
  2. J. R. M. Vaughan, IEEE Trans. Electron Devices, vol. 36, no. 9, pp. 1963–1967, 1989.
  3. A. Iqbal, D.-Q. Wen, J. Verboncoeur, and P. Zhang, “Recent Advances in Multipactor Physics and Mitigation,” High Voltage, 2023 (in the press), https://doi.org/10.1049/hve2.12335.
  4. D.-Q. Wen, A. Iqbal, P. Zhang, and J. P. Verboncoeur, Physics of Plasmas 26, 093503 (2019).
  5. D.-Q. Wen, A. Iqbal, P. Zhang, and J. P. Verboncoeur, Appl. Phys. Lett., vol. 121, no. 16, p. 164103, 2022.
  6. A. Iqbal, J. Verboncoeur, P. Zhang, Phys. Plasmas 29, 012102 (2022).
  7. A. Iqbal, D.-Q. Wen, J. Verboncoeur, and P. Zhang, “Two Surface Multipactor with Non-Sinusoidal RF Fields,” 2023 (under review).

This work was supported by the Air Force of Scientific Research (AFOSR) MURI Grant Nos. FA9550-18-1-0062 and FA9550-21-1-0367.

PS-TuP-41 Field Reversals in High Voltage-Driven Low Pressure Capacitively Coupled Plasmas
De-Qi Wen (Michigan State University); Janez Krek (KLA Corporation); Jon Gudmundsson (University of Iceland); Emi Kawamura, Michael Lieberman (University of California at Berkeley); Peng Zhang, John Verboncoeur (Michigan State University)

Low pressure capacitively coupled plasmas (CCPs) are widely used in plasma processing, such as etching, sputtering, cleaning. In recent work, we presented the important role of realistic electron-induced secondary electron emission (SEE), metastable atom and photon-induced secondary electrons from electrodes on the plasma density in low pressure capacitive argon discharges at 13.56MHz. Including the surface processes, the plasma density from the kinetic particle-in-cell (PIC) simulations shows good agreement with experimental measurements [Schulenberg et al Plasma Sources Sci. Technol. 30 (2021) 105003] at low pressure (1-10 Pa). In this work, we investigated the high voltage-driven capacitive discharges at low pressure via the validated PIC model and studied the reversed electric field pointing from the electrode towards the bulk plasma. The reversed field is induced by the strong secondary electron emission during the phase of sheath collapse. Especially, we explored the transition behavior of the formation of field reversal as a function of driving voltage amplitude and found the field reversal starts to be formed at around 750 V for CCP with an electrode spacing of 4 cm at 10 mTorr argon and 13.56 MHz. Accordingly, the electron energy distribution function incident on the electrode shows peaks from around 3 eV to 10 eV while spanning the driving voltage from 150V to 2000 V, showing potentially favorable effects in plasma processing where directional electrons are preferred to solely thermal diffusion electrons. The field reversals found at macroscale will also be examined for microplasmas considering a similarity law.

This work was supported by the Air Force Office of Scientific Research (AFOSR) MURI Grant FA9550-21-1-0367 and NSF-DOE Partnership Grant for DE-SC0022078. the Icelandic Research Fund Grant Nos.163086 and 217999, and a gift from the Applied Materials Corporation, AKT Display Division.

PS-TuP-42 Illinois University-Scale Extreme-Ultraviolet Lithography Source
Daniel O'Dea, Jan Uhlig, Elliott Sherman, Scott Dubowsky, David Ruzic (University of Illinois at Urbana-Champaign, USA)

An Extreme-Ultraviolet (EUV) source has been developed at Illinois to expose candidate EUV resist materials and to study the plasma responsible for making EUV light. The EUV source consists of two EUV Multilayer Mirrors (MLM), 0ne collecting the light and another focusing the light on a x-y-z translation stage to approximately a 1 mm square area.The x-y translation allows for different samples to be exposed.The adjustment allows for best focus.The light is produced by striking a swappable tin-containing target with 7ns long pulses from a 100Hz, 350 mJ Nd:YAG laser at 1064 nm.To calibrate the EUV produced, measurements were made at the collector mirror position with a broad-spectrum photodiode detector limited to EUV by using a 150nm Zr based transmission filters.Photodiode readings are confirmed through the use of EUV-sensitive photoresist coated wafers. Experiments have been performed using pure Sn targets and Sn-containing ceramics (typically 5 a%), based on Spectraflux 100B (Lithium Metaborate/Lithium Tetraborate 80/20) as a primary component. Optical Emission Spectroscopy is used as an additional method for observing the LPP, with ongoing efforts regarding mitigation and removal of the debris produced. Laser power/focus, operating pressure, and target composition are investigated to optimize the system with the twin objectives of both maximizing EUV dosage and minimizing debris production. The setup is capable of exposing samples for research purposes in the field of novel EUV photoresist and examining methods for improving line-edge-roughness and dosage required for full development.

Session Abstract Book
(468KB, Nov 2, 2023)
Time Period TuP Sessions | Topic PS Sessions | Time Periods | Topics | AVS 69 Schedule