AVS 69 Session EM+TF-ThM: Wide- and Ultra-Wide Band Gap Materials and Devices

Thursday, November 9, 2023 8:00 AM in Room B116

Thursday Morning

Session Abstract Book
(316KB, Nov 2, 2023)
Time Period ThM Sessions | Abstract Timeline | Topic EM Sessions | Time Periods | Topics | AVS 69 Schedule

Start Invited? Item
8:00 AM EM+TF-ThM-1 Ga2O3 and AlN for Power and RF Electronics
Grace Xing (Cornell University)

It’s of little surprise that there has been a consistent drive toward the use of wider bandgap materials for power and RF electronics. After all, the wider the bandgap, the greater the breakdown field, opening the door to making devices with a higher breakdown voltage for the same material thickness. Furthermore, the saturation velocity of mobile carriers in ultra-scaled devices tends to be about 1-2 x 107 cm/s in most semiconductors.

However, nature is not always that generous. Typically, a move to a wider bandgap is accompanied by more challenging doping, point defect control, ohmic contacts, quality junctions, along with difficulty in making high-quality native substrates. Ga2O3 and AlN are among the promising contenders, given their large bandgaps, availability of large-size bulk substrates (>2 inches), and heterojunctions.But both lack p-type.AlN possesses high thermal conductivity – slightly worse than that of copper but Ga2O3 has a low thermal conductivity – worse than that of sapphire.

Given all these promises and obstacles, is it possible to harvest all the benefits in AlN and Ga2O3 and demonstrate devices that are superior to those made from SiC and GaN?I will reflect on our efforts in seeking answers to these questions in the past many years researching on power and RF devices with a focus on Ga2O3 and AlN [1-6].

References:

[1] Z. Hu et al., Appl. Phys. Lett. 92 85111 (2015) Near unity ideality factor and Shockley-Read-Hall lifetime in GaN-on-GaN p-n diodes with avalanche breakdown.

[2] W. Li et al.,IEEE Trans. Electron Dev.(2020) Guiding principles for trench Schottky barrier diodes based on ultrawide bandgap semiconductors: a case study in Ga2O3 [https://doi.org/10.1109/TED.2020.3003292]

[3] A. Hickman et al, SST (2021) Next generation electronics on the ultrawide-bandgap aluminum nitride platform.

[4] A. Green et al, APL Materials (2022) Beta-gallium oxide power electronics.

[5] E.K. Kim et al, APL (2023) N-polar GaN/AlGaN/AlN HEMTs on single-crystal bulk AlN substrates.

[6] W. Zhao et al., IEEE EDL (2023) 15-GHz epitaxial AlN FBARs on SiC substrates.

8:40 AM EM+TF-ThM-3 Deep UV Photoluminescence Mapping of Gallium Oxide
Matthew McCluskey (Washington State University)
Photoluminescence (PL) spectroscopy is an important method to characterize dopants and defects in gallium oxide. Features in the PL spectrum include the intrinsic UV band, blue and green bands that involve donor-acceptor pairs, and red emission due to Cr3+ impurities. PL mapping with excitation wavelengths as short as 266 nm reveals the spatial distribution of these features with submicron resolution. In Czochralski-grown β-Ga2O3:Fe, the Cr3+ emission intensity shows striations that are attributed due to inhomogeneities during growth. In addition to defects in the bulk, PL microscopy has revealed several specific defects on the surface. Some of these localized centers are very bright UV emitters. Raman scans of these bright emitters revealed hydrocarbon peaks, which may point toward the origin of the light emission. Samples damaged by high-intensity laser pulses show significant changes in the intensity and energy of the intrinsic UV band.
9:00 AM EM+TF-ThM-4 Spatially Resolved Polymorph Conversion in Ga2O3
Umutcan Bektas, Paul Chekhonin, René Heller, René Hübner, Maciej Oskar Liedke, Nico Klingner, Gregor Hlawacek (Helmholtz Zentrum Dresden-Rossendorf, Germany)

Monoclinic galliumoxide (β-Ga2O3) is a promising wideband gap semiconductor with a bandgap of 4.7 eV and a high breakdown voltage. However, the existence of several metastable polymorphs and the immature fabrication technology limits its applications. The research is based on the recent observation that β-Ga2O3 can reliable be converted into γ-Ga2O3 using high energy ion beams [1,2]. It could also be shown that the resulting γ-Ga2O3 layer exhibits an exceptional tolerance towards high fluence ion beam irradiation [3].

Here, we use focused ion beam (FIB) induced processing to convert β-Ga2O3 into γ-Ga2O3 in a spatially controlled way. We employ focused Ne ions from a helium ion microscope (HIM) and liquid metal alloy ion sources (LMAIS) based FIB with Co, Si, and In to induce the polymorph conversion. Electron backscatter diffraction (EBSD), transmission electron microscopy (TEM) and atomic force microscopy (AFM) are used to confirm, in a spatially resolved way, the successful polymorph conversion. From the obtained EBSD data the orientation relationship between the irradiated and unirradiated material is resolved. Broadbeam irradiated reference samples have been used to corroborate these results with channeling Rutherford backscattering spectrometry (c-RBS), X-ray diffraction (XRD) and Doppler broadening variable energy positron annihilation spectroscopy (DB-VEPAS) results. The obtained crystal structure and defect distribution data supports the model suggested for the conversion mechanism [3].

This research is supported by the tax funds on the basis of the budget passed by the Saxonian state parliament in Germany and the COST Action CA19140 FIT4NANO https://www.fit4nano.eu/.

[1] A. Azarov, C. Bazioti, Disorder-Induced Ordering in Gallium Oxide Polymorphs, Phys. Rev. Lett. 128 (2022), 015704.

[2] J. Garcia-Fernandez, S.B. KJeldby, Formation of γ-Ga2O3 by ion implantation: Polymorphic phase transformation of β- Ga2O3, Appl. Phys. Lett. 121 (2022), 191601.

[3] A. Azarov, J. G. Fernández, J. Zhao, F. Djurabekova, H. He, R. He, Ø. Prytz, L. Vines, U. Bektas, P. Chekhonin, N. Klingner, G. Hlawacek, A. Kuznetsov, Universal radiation tolerant semiconductor (2023), doi:10.48550/ARXIV.2303.13114.

9:20 AM EM+TF-ThM-5 Low-Temperature Epitaxy and in-situ Doping of Ultrawide Bandgap Ga2O3 Films via Hollow-Cathode Plasma-ALD
Saidjafarzoda Ilhom, Adnan Mohammad, Narmin Ibrahimli, John Grasso, Brian Willis (University of Connecticut); Ali Kemal Okyay (OkyayTech Inc); Necmi Biyikli (University of Connecticut)

Wide and ultrawide bandgap (WBG/UWBG) semiconductors make the backbone of high-power high-frequency electronics, used in electric vehicles, 5G and beyond wireless communication systems, and smart power grids. Gallium oxide (Ga2O3) is an emerging UWBG semiconductor showing superior material properties particularly ideal for harsh environments (high temperature, high-energy radiation, corrosion) applications. Reducing the growth and doping process temperatures for Ga2O3would potentially enable a wider integration platform towards post-CMOS integration and flexible electronics.

Herein, we report on the low-temperature as-grown crystalline β-Ga2O3films on Si, glass, and sapphire via hollow-cathode plasma-assisted atomic layer deposition (HCP-ALD). The films were deposited using triethylgallium (TEG) and Ar/O2plasma as metal precursor and oxygen co-reactant, respectively. Additionally, we have employed in situ atomic layer doping to n-type dope Ga2O3 films where tris-dimethylaminosilane (TDMAS) and tetrakis-dimethylaminotin(IV) (TDMASn) were utilized as the dopant precursors. Growth experiments have been performed at 240 °C under 50 W rf-plasma power. The doping process was carried out via both supercycle (ABC-type ALD-cycle) and co-dosing methods. Additionally, eachunit ALD-cycle was followed by an in-situ Ar-plasma annealing treatment, which consisted of Ar-plasma exposure for 20 seconds at 250 W rf-power. Both in-situ and ex-situ ellipsometry were employed to measure the thickness and optical properties of the Ga2O3samples. X-ray diffraction (XRD) of the sample on sapphire revealed epitaxial Ga2O3films with monoclinic b-phase, while GIXRD analyses of the samples grown on Si and glass displayed b-phase polycrystalline films. HR-STEM imaging and EDX elemental analysis confirmed the epitaxial relationship of the films grown on sapphire substrates and displayed successful incorporation of dopant elements. Preliminary electrical conductivity measurements showed highly resistive samples. Therefore, ex-situ thermal annealing studies are carried out to explore dopant activation processes. Further studies from our XPS characterizations will provide additional insight about the chemical bonding states of the dopant species. A significant effort will be devoted for the comparison of Si and Sn-doping strategies and potential suggestions will be provided to overcome the challenges in achieving device quality undoped and doped Ga2O3layers at low processing temperatures.

View Supplemental Document (pdf)
9:40 AM EM+TF-ThM-6 Growth of Metastable Ga2O3 Epitaxial Films Using Metalorganic Chemical Vapor Deposition and Halide Vapor Phase Epitaxy
Jingyu Tang, Kunyao Jiang, Mark Weiler, Matthew Moneck, Robert Davis, Lisa Porter (Carnegie Mellon University)
Gallium oxide (Ga2O3) is an ultra-wide bandgap semiconductor of interest for electronics that can operate in extreme conditions, such as high power, high temperature and radiation exposure. β-Ga2O3 is thermodynamically stable at atmospheric conditions up to its melting point and is the phase produced in melt-grown, single-crystal substrates.However, epitaxial films of metastable polymorphs of Ga2O3 are also of interest because they possess unique properties – such as piezoelectricity, ferroelectricity, or ferromagnetism – that could lead to new types of heterostructure devices.We report here the growth and characterization of both mixed-phase and phase-pure epitaxial films of each of the metastable polymorphs: κ(ε) and γ using metalorganic chemical vapor deposition (MOCVD); and α, κ and γ using halide vapor phase epitaxy (HVPE). The effect of variables, such as substrate temperature, will be reported, as will the use of different substrates / orientations to produce phase-pure epitaxial films of α-, κ-, and γ-Ga2O3 in the same grown runs.The microstructure, composition, and morphology of the films and film/substrate interfaces were characterized using x-ray diffraction (XRD) θ-2ωscans, rocking curves, and φ scans; scanning electron microscopy (SEM); and high-resolution cross-section transmission electron microscopy (TEM) with energy dispersive x-ray (EDX) analysis.
10:00 AM BREAK - Complimentary Coffee in Exhibit Hall
11:00 AM EM+TF-ThM-10 AlGaN/GaN HEMTs with Submicron Gates for High-Frequency Operation in Harsh Space Environments
Isabel Harrysson Rodrigues, Mina Rais-Zadeh (Jet Propulsion Laboratory, California Institute of Technology)

Indium aluminum nitride/gallium nitride high electron mobility transistors (AlGaN/GaN HEMTs) offer excellent properties such as high electron mobility and wide bandgap, making them ideal for high-frequency and high-power applications, in harsh environments. The wide band gap of the AlGaN/GaN heterostructure infers inherent radiation tolerance compared to traditional Si microelectronics. Owing to the advantageous two-dimensional electron gas, AlGaN/GaN HEMTs can provide increased sensitivity, fast response time, and low noise levels while withstanding extreme temperatures, radiation, and vibrations found in space. Its robustness and reliability make it ideal for long-term missions, ensuring accurate and uninterrupted sensing and detection in difficult space conditions. DC operation has been achieved for AlGaN/GaN HEMTs with various larger gate dimensions. Separate studies have shown successful operation of AlGaN/GaN HEMTs at high temperatures reaching 1000°C. However, in achieving high-frequency operation, the device geometry must be size-optimized from large-area to scaled gate lengths in the submicron regime. RF analysis at both room temperature and high temperature is a necessary next step to determine the merits of these devices for extreme environment applications where these devices have advantages over other semiconductor technologies. In this work, the performance of AlGaN/GaN HEMTs are optimized by reducing the gate length toward high-frequency operation in harsh space environments, with specific applications in on-board rover communications and for in situ readout of sensor data. The geometry schemes were chosen during the design phase, and the microfabrication process, metallization, and passivation materials were carefully evaluated. These results are promising for further testing, e.g., radiation tolerance, high temperatures, and monolithic circuit Integration. The presented devices have an advantage over traditional heterogeneous integration strategies for GaN devices which requires silicon ASICs that, without cooling, cannot operate in high-temperature environments.

11:20 AM EM+TF-ThM-11 Selected-Area P-Type Doping of GaN Using Focused-Ion Beams
Sam Frisone (University of Michigan); Michael Titze, Aaron Katzenmeyer (Sandia National Lab); Bingjun Li (Yale University); Aaron Flores (Sandia National Lab); Yongqiang Wang (Los Alamos National Laboratory); Rachel Goldman (University of Michigan); Edward Biejelec (Sandia National Lab); Jung Han (Yale University)

Due to their potential for high breakdown voltage and low on-resistance, GaN-based electronic devices are promising for high-power and high-frequency electronics. Vertical GaN p-i-n devices are expected to offer improved thermal management and reduced leakage current in comparison to their lateral counterparts; however, typical etching and regrowth processes introduce interfacial impurities that limit control of dopant profiles. Thus, a strategy for both vertical and lateral dopant selectivity, without the need for etching and regrowth, is essential for the development of high-quality vertical GaN devices. Here, we report on Mg doping using a liquid-metal ion source (LMIS) in a mass-selecting focused-ion-beam (FIB) column. For these studies, an unintentionally doped (UID) 3.6μm GaN layer is grown by metalorganic chemical vapor deposition on n-type GaN. In preparation for FIB implantation, the UID GaN layer was patterned with an array of Au/Ti markers. Checkerboard patterns consisting of alternating 70x70 and 50x50μm squares, with and without Mg FIB implantation, were prepared using a Mg-doped AuSi eutectic as the LMIS. The ion energies were set to 70keV, resulting in a most probable ion implantation depth of 70nm; seven ion fluences ranging from 1012-1015 cm-2, corresponding to Mg concentrations of 1016-1019 cm-3, were used. To examine the activation of Mg dopants in GaN, we compare the pristine and implanted regions before and after rapid-thermal processing (RTP). A distinct checkerboard pattern is observed in secondary electron (SE) images, with reduced SE image intensities in the implanted regions compared to the pristine regions. Following removal of the Au/Ti markers and 30 seconds of RTP at 1100°C, the checkerboard pattern is reduced in the vicinity of the four lowest ion fluences. For all regions, cathodoluminescence (CL) spectroscopy reveals GaN near-band edge (NBE) emissions at 3.4eV. The intensity of NBE emission is highest in the pristine regions, especially those in the vicinity of the two lowest ion fluences. Furthermore, in pristine regions adjacent to the two lowest ion fluences we observe CL emissions at 2.85eV and 2.2eV. As discussed in [1], we attribute these emissions to impurity-related donor-acceptor pairs and yellow luminescence, respectively. The reduced SE image intensity and limited CL emission in the vicinity of the highest ion fluences suggest incomplete Mg dopant activation. The influence of additional RTP on the dopant activation will be discussed. [1] 10.1016/j.nanoen.2022.107689

11:40 AM EM+TF-ThM-12 Epitaxial Growth and Characterization of High ScN Fraction ScAlN on NbN and SiC
Matthew Hardy, Scott Katzer, Andrew Lang, Eric Jin, Neeraj Nepal, Brian Downey, Vikrant Gokhale, Virginia Wheeler (U.S. Naval Research Laboratory)

ScAlN thin films have attracted significant attention due to their factor of five increase in piezoresponse over AlN for Sc0.43Al0.57N. Integration of metallic epitaxial NbN with ScAlN using molecular beam epitaxy (MBE) enables a pathway towards a highly conductive lower electrode while preserving high crystal quality even in relatively thin ScAlN films suitable for use at or above X-band frequencies. Maintaining phase-pure and high crystal quality ScxAl1-xN at high x is critical to improve resonator bandwidth and reduce insertion loss.

In this work, we show the importance of the ScAlN nucleation layer to the final crystal quality and anomalously oriented grain (AOG) density of MBE-grown ScAlN films on SiC and NbN/SiC. Starting the ScAlN growth with a Sc0.32Al0.68N layer can suppress the rock-salt ScAlN material at the nucleation interface, as seen by reflection high-energy electron diffraction (RHEED) and transmission electron diffraction (TEM). Nucleation using a linear composition grade from Sc0.32Al0.68N to Sc0.40Al0.60N over 100 nm leads to further improvements in the RHEED pattern, including a narrowing of the spots early in the growth, as well as elimination of remaining ring-like character in the final RHEED pattern after an additional 40 nm of growth, resulting in an X-ray diffraction (XRD) FWHM as low as 1.22° for ScAlN films grown on SiC. TEM shows near elimination of cubic grains that otherwise form in the initial layers of the Sc0.40Al0.60N. The grade thickness can be reduced to 25 nm (with the remaining 125 nm Sc0.40Al0.60N) without degrading the XRD FWHM or RHEED pattern, increasing the average ScN fraction from 0.373 to 0.393. Finally, a 500-nm-total-thickness sample (100 nm Sc0.32Al0.68N → Sc0.40Al0.60N, 400 nm Sc0.40Al0.60N) was grown to show the impact of defect annihilation in thicker films, resulting in a reduction of XRD FWHM to 0.89°. The improved layer initiation shows that more gradual changes in surface energy and strain reduces the nucleation of undesirable cubic grains, and may point to a general strategy for elimination of anomalous grains in high ScN fraction ScAlN.

Employing a similar growth approach and using a novel two-step AlN interlayer enables integration of ScAlN on an NbN epitaxial metal lower electrode. Growth conditions to first encapsulate the NbN layer, and then provide a smooth surface for ScAlN nucleation are critical to minimize degradation in XRD FWHM or AOG density. ScAlN on NbN structures enable characterization of the ScAlN dielectric constant and electromechanical coupling coefficient (kt2). A dielectric constant of 25 and kt2 of 27% was extracted for Sc0.32Al0.68N from a Sc0.32Al0.68N/AlN/NbN/SiC sample.

12:00 PM EM+TF-ThM-13 Novel Graphene and SiC Epitaxy to Enable Film Transfer
Daniel Pennachio, Jenifer Hajzus, Andrew Lang (US Naval Research Laboratory); Rhonda Stroud (Former employee of US Naval Research Laboratory); Rachael Myers-Ward (US Naval Research Laboratory)

Remote epitaxy (RE) is a promising technique for epitaxial film transfer that utilizes graphene as a release layer [1]. Graphene grown on SiC(0001) substrates through Si sublimation or through propane chemical vapor deposition (CVD) is an ideal platform for remote epitaxy of wide bandgap (WBG) semiconductors as there is no need for a graphene transfer step, mitigating contamination or defects that can complicate the remote epitaxy process. In addition, the graphene/SiC materials system is compatible with commercially-viable WBG semiconductor growth and processing, making it a suitable choice for scalable future development. A challenge to utilizing SiC is that CVD growth is typically conducted using high-temperature hydrogen-based chemistries that could damage or remove graphene. This study investigates the effect of alternative low-H2 CVD growth conditions on SiC/graphene/SiC(0001) remote epitaxy that may reduce damage to the graphene barrier. In addition, graphene preparation and associated surface morphology is varied to explore its effect on SiC epilayer formation.

For this work, the effects of Ar:H2 process gas flow ratio, growth precursor C/Si ratio, and growth temperature on hot-wall CVD SiC RE crystalline quality were investigated. Both semi-insulating nominally on-axis 6H-SiC(0001) and n-type 4° off-axis 4H-SiC(0001) substrates were used to produce different surface morphologies and graphene layer numbers. Nomarski optical microscopy, scanning electron microscopy, and atomic force microscopy found CVD deposition at 1620°C with Ar/H2 ratios <20/5 slm, and C/Si ratios <1.55 to have the smoothest surface morphology and fewest polytype inclusions. Substrates with offcuts <0.1° from SiC(0001) exhibited lower epilayer macrostep density but showed evidence of polytype impurities and 3D growth at C/Si ratios > 1.0. RE on EG/4H-SiC(0001) substrates with a 4° off-cut from SiC[0001] had a wider parameter range resulting in single-crystalline growth compared to growth on the nominally on-axis substrates despite growth of >1 monolayer EG on these substrates. This study found smooth, single-crystalline polytype-pure SiC(0001) epilayers on EG substrates could be grown using predominantly Ar carrier gas, with H2 concentrations as low as ~2%. Through this study, optimal SiC RE growth conditions are suggested for a balance of EG survivability and SiC film morphology.

[1] Kim, Y., Cruz, S., Lee, K. et al. Nature 544, 340–343 (2017).

View Supplemental Document (pdf)
Session Abstract Book
(316KB, Nov 2, 2023)
Time Period ThM Sessions | Abstract Timeline | Topic EM Sessions | Time Periods | Topics | AVS 69 Schedule