ALD2018 Session AM-TuA: ALD for Manufacturing

Tuesday, July 31, 2018 4:00 PM in Room 107-109

Tuesday Afternoon

Session Abstract Book
(278KB, May 5, 2020)
Time Period TuA Sessions | Abstract Timeline | Topic AM Sessions | Time Periods | Topics | ALD2018 Schedule

Start Invited? Item
4:00 PM AM-TuA-11 Open Air Processing of Innovative Transparent Conductive Materials with Spatial ALD
David Muñoz-Rojas (Grenoble INP/CNRS, France)

Spatial Atomic Layer Deposition (SALD) is an alternative approach to ALD that is gaining momentum in the last years due to the high deposition rate that it offers. In combination with the unique properties of ALD, namely, film homogeneity, precise thickness control, high quality materials at low temperatures and unique ability to conformably coat high aspect ratio features, the high throughput offered by SALD widens the potential industrial applications of ALD.

Additionally, SALD can be performed at atmospheric pressure, and even in the open air. One approach to do so is to use a deposition manifold head in which the different precursors and inert gas flows are injected along parallel channels. By placing the substrate to be coated close enough to the deposition head, efficient precursor separation is achieved (Figure 1). Such close proximity approach is indeed very convenient to couple SALD with other deposition and processing methods, in order to fabricate functional devices. [1]

On type of materials that can benefit for the unique assets of SLAD are transparent conductive materials (TCMs). TCMs are currently widely used in different type of devices raging from solar cells or LEDs to touch screens or transparent heaters. Depending on the applications, the properties of TCMs in terms of conductivity, transparency and stability need to be tuned. But in most cases, the ability to deposit TCMs at low temperatures and with high throughput is required in order to be compatible with other delicate components in the device (organic active materials, soft substrates such as plastic) and to be convenient for industrial application (were high deposition rates are needed).

In this communication I will show several examples of application of open air SALD to the deposition and surface engineering of innovative TCMs. The effect of processing in the open air in the properties of the deposited films will also be discussed.

References

[1] D. Muñoz-Rojas, J. MacManus-Driscoll, Spatial atmospheric atomic layer deposition: a new laboratory and industrial tool for low-cost photovoltaics, Mater. Horizons. 1 (2014) 314–320. doi:10.1039/c3mh00136a.

View Supplemental Document (pdf)
4:30 PM AM-TuA-13 Conformality of SiO2 and Al2O3 Coatings Produced using High Speed Spatial ALD with a DC Plasma
Eric Dickey, Bryan Danforth, William Barrow (Lotus Applied Technology)

For substantially smooth planar surfaces, spatial ALD has been demonstrated to allow the use of very short cycle times by eliminating the transient periods associated with precursor introduction and removal from the reactor for each ALD cycle. To achieve the highest overall deposition rates, the exposure times to the precursors, and to the plasma if used, must also necessarily be minimized. However, in order to achieve high levels of conformality, the duration of exposure to the precursor must be sufficient to allow diffusion into the bottom of features. For plasma-based processing, sufficient amounts of reactive radicals must be delivered to the bottom of the features, without recombining into less reactive species on the way down. In this study we examine the limiting factors to the deposition rate of highly conformal metal oxide films grown using spatial PEALD. The reactor used is a batch tool with a rotating disc as the substrate holder, configured to expose the substrate to a metal precursor on one side, and a DC plasma on the other. The substrate is exposed to the metal precursor for about 18% of the circumferential substrate path length, and to the plasma for about 8% of the path length. Substrate rotation rates were varied between 300 RPM and 30 RPM, resulting in exposure times ranging from 36 to 360 milliseconds for the precursor and 16 to 160 milliseconds for the plasma. Two types of patterned silicon substrates were used to characterize conformality of the coating, including substrates with conventional deep trenches of varying width and aspect ratios up to 50:1, and substrates patterned with a novel re-entrant overhang feature. This overhang provides surfaces that are not in the line of sight of the plasma source or the precursor showerhead, as well as an aperture to comparatively larger volume features. To identify the parameters that limit conformality at high deposition speeds, precursor concentration, substrate temperature, and plasma conditions were varied and relative conformality was compared.

View Supplemental Document (pdf)
4:45 PM AM-TuA-14 Characterizing Precursor Delivery from Vapor Draw Ampoules
James Maslar, Brent Sperling, William Kimes (National Institute of Standards and Technology); William Kimmerle, Kyle Kimmerle (NSI)

Vapor draw ampoules (no dip tube: the gas in and gas out ports open directly into the ampoule headspace) are commonly employed for precursor delivery in atomic layer deposition processes, typically for solid precursors but sometimes for liquid precursors. Unlike a bubbler (an ampoule with a dip tube) for which a constant precursor output is readily achievable for a given set of process conditions, the precursor output from a vapor draw ampoule typically exhibits a transient decrease upon startup, even for constant process conditions. Such behavior has been observed for both solid precursors, e.g., pentakis(dimethylamido) tantalum (PDMAT) and trimethyl indium, and liquid precursors, e.g., μ2η2‑(tBu‑acetylene)dicobalthexacarbonyl (CCTBA). This characteristic can complicate ALD recipe development and process optimization. Different factors have been proposed to explain this transient, including sublimative/evaporative cooling of the precursor (i.e., cooling reduces the precursor vapor pressure and hence the amount of material entrained in the carrier gas), incomplete saturation of the carrier gas (i.e., the precursor sublimation/evaporation rate is too low to permit saturation of the carrier gas for the gas residence time in the ampoule), or slow mass transport processes in the ampoule. The goal of this work is a better understanding of the processes involved in precursor delivery from vapor draw ampoules. Such an understanding should facilitate ALD process optimization and development of improved ampoule designs. To achieve this goal, the amount of precursor delivered under a range of conditions was measured using custom-designed non-dispersive infrared gas analyzers and tunable diode laser spectroscopy systems employed as optical mass flow meters. In addition, validated analytical and numerical process models were developed to describe the dependence of precursor delivered on process parameters. Compounds were selected for investigation based on industrial relevance, e.g., PDMAT, CCTBA, and water, or availability of physical-chemical properties, e.g., water, hexane, and hexadecane. The focus of this investigation was on commercial 1.5 L ampoules (with a maximum fill of 1.2 L), although different designs were examined.

View Supplemental Document (pdf)
5:00 PM AM-TuA-15 Monitoring Conformality in ALD Manufacturing: Comparing Lateral and Vertical High Aspect Ratio Test Structures
Mikko Utriainen (VTT Technical Research Centre of Finland); Stefan Riedel, Alireza Kia (Fraunhofer IPMS, Germany); Feng Gao (VTT Technical Research Centre of Finland); Riikka L. Puurunen (Aalto University, Finland)

Atomic Layer Deposition (ALD) technology enables manufacturing of conformal thin films into such deep microscopic trenches and cavities that the film characterization becomes a true challenge. In ALD applications these 3D microstructured substrates are typically vertically oriented high aspect ratio (HAR) structures. Monitoring and control of conformality relies predominantly on cross-sectional sample preparation and SEM/TEM characterization. This approach has several challenges, e.g. need to break the wafer, seeing only thin slice, cleavage plane inaccuracy, multiple repeated samples to get reliable data and long response times.

A potential approach to circumvent the challenges is a MEMS-based all-silicon lateral high aspect ratio (LHAR) test structure, PillarHall® developed at VTT [1-2]. The LHAR test chip is IC cleanliness proven and thus potentially compatible to any cleanroom environment. This study focuses to research questions: How reliable and accurate is LHAR test in 300 mm wafer manufacturing environment and, especially, how does it compare to vertical HAR structures.

The LHAR Test Chip (LHAR3 -series, AR range 2:1 - 10000:1, 500nm gap height) was employed for the first time on the carrier wafer in 300 mm wafer ALD process (Jusung Eureka 3000) in Fraunhofer IPMS. The ALD process was foundry’s default ZrO2/Al2O3 laminate process, 22 nm, carried out in two process variation runs (A=optimized for 3D, B=planar) at same temperature and cycle numbers. In the same run was employed LHAR and vertical trench test structures (AR 20:1). Conformality of both structures were analyzed by SEM cross-sections, with appropriate sample preparations.

Findings show that conformality in LHAR is comparable to vertical HAR within accuracy limits of step coverage metrology within the comparable AR range. Furthermore, in this study, higher aspect ratios in LHAR test chip shows significant differences between the process variations while in VHAR they are small. Therefore, even optical microscope metrics from LHAR provides fast relative insight to the process variations and can be utilized in monitoring. LHAR enables also access to gain more detailed compositional information on the trench wall e.g. by ToF-SIMS, which is under examination and a topic of further studies.

REFERENCES

[1] Gao et al., J. Vac. Sci. Technol. A, 33 (2015) 010601.

[2] Puurunen et al., AF-SuA15, ALD 2017, Denver, USA.

View Supplemental Document (pdf)
5:15 PM AM-TuA-16 A Remote Plasma Spectroscopy Based Method for Monitoring of Atomic Layer Deposition Processes
Joseph Brindley, Benoit Daniel, Victor Bellido-Gonzalez (Gencoa Ltd, UK); Oleg Zabeida, Ludvik Martinu (Polytechnique Montreal, Canada); Richard Potter, Benjamin Peek (University of Liverpool, UK)

Atomic layer deposition (ALD) is an exciting emerging technology in the deposition of many functional thin films. Effective monitoring of individual gas concentrations during the ALD processes offer a unique insight into the process behaviour as well as being an important step in the eventual wide-spread industrialisation of the ALD technique.

Conventional quadrupole residual gas analysers have difficulty monitoring ALD processes due to the high process pressures and the presence of contaminating hydrocarbons contained within many ALD precursors. For these reasons monitoring of precursor gas concentrations during the ALD process is rarely undertaken.

An alternative gas sensing technique that operates directly at pressures above 1E-4 mbar has been built around plasma emission monitoring. This technique involves the generation of a small, remote plasma using an inverted magnetron placed within the ALD vacuum system. Consequently, species that are present within the vacuum become excited in the sensor’s plasma, emitting a spectrum of light, which can then be used to identify and monitor the emitting species.

This work will demonstrate that the sensing method is robust when exposed to the ALD processing environment. Photomultiplier and CCD spectroscopy based methods were investigated for analysing the plasma emission. It was found that by synchronising the spectrum acquisition with the precursor injection, it was possible to consistently capture the fast gas dynamics of the ALD process. Sensitivity limitations of the technique are also investigated, in particular the effectiveness of plasma emission monitoring in being able to distinguish between similar precursors.

Examples of this sensing technique’s practical uses for ALD processes are discussed; this includes detection of contaminants, optimising purge cycle length and monitoring the reaction dynamics in terms of precursor gas consumption.
Session Abstract Book
(278KB, May 5, 2020)
Time Period TuA Sessions | Abstract Timeline | Topic AM Sessions | Time Periods | Topics | ALD2018 Schedule