ALD2020 Session AA-WeA: ALD for Semiconductor Applications II & III

Wednesday, July 1, 2020 1:00 PM in Room Van Rysselberghe

Wednesday Afternoon

Session Abstract Book
(347KB, Jul 28, 2020)
Time Period WeA Sessions | Abstract Timeline | Topic AA Sessions | Time Periods | Topics | ALD2020 Schedule

Start Invited? Item
1:00 PM AA-WeA-1 Study of ALD HfO2-Based High-k for GaN Power Devices and Ferroelectric Devices
Toshihide Nabatame (National Institute for Materials Science, Japan); Takashi Onaya (Meiji University, Japan); Erika Maeda, Masafumii Hirose (Shibaura Institute of Technology, Japan); Yoshihiro Irokawa (National Institute for Materials Science, Japan); Koji Shiozaki (Nagoya University, Japan); Yasuo Koide (National Institute for Materials Science, Japan)

Recently, HfO2-based high-k materials have been investigated as dielectric for GaN power devices and ferroelectric devices. These HfO2-based high-k thin films were deposited by plasma-enhanced atomic layer deposition (PE-ALD) using O2 plasma.

In GaN power device, gate dielectric is required that they have more stable amorphous structure at 800 °C, relatively high dielectric constant (k) value (>10) and high breakdown electric field (> 8 MV/cm). For these reasons, we have been investigated Hf-rich HfSiOx as gate dielectric [1, 2]. To fabricate HfSiOx films, the HfO2/SiO2 laminate was initially deposited by PE-ALD with tetrakis(dimethylamino)hafnium (TDMAHf) and Tris(dimethylamino)silane (TDMAS) precursors [1]. Understanding the SiO2 growth mechanism on HfO2 layer is an important in terms of the design of the HfSiOx formation. The growth per cycle (GPC) values of PE-ALD-SiO2 layer on HfO2 underlayer was 4 times larger than that on SiO2 underlayer because of the different adsorption of TDMAS precursor on the surface of Si-O and Hf-O which has the difference of electronegativity. Using the GPC of PE-ALD-SiO2 layer on HfO2 underlayer, we could design Hf-rich HfSiOx (Hf0.57Si0.43Ox) films by controlling ALD cycle of each HfO2 and SiO2 layer. The Hf0.57Si0.43Ox dielectric of n-GaN capacitors exhibited superior characteristics such as an amorphous structure after annealing at 800°C, high k value of 13.5, a small flatband voltage shift (-0.36 V), a high breakdown electric field (8.6 MV/cm).

FeRAM and FeFET application with ferroelectric HfxZr1xO2 (HZO) films is required low temperature fabrication process. To achieve this requirement, we have been investigated characteristics of HZO films fabricated by PE-ALD at 300°C and post-metallizaiton annealing at 300–400°C [3, 4]. As-grown HZO film, which deposited by PE-ALD using TDMAHfZr (Hf:Zr = 1:1) cocktail precursor and O2 plasma, had nanocrystalline structure with ferroelectric orthorhombic, tetragonal, and cubic phases. TiN/HZO/TiN capacitors showed excellent remanent polarization (2Pr) and k values of 34 μC/cm2 and 39, respectively, after PMA at 300–400°C.

We conclude that ALD process including specific technique such as laminate formation due to different absorption of precursor and direct complex oxides formation using cocktail precursor is suitable for various electric devices.

This work was in part supported by MEXT GaN R&D project.

[1] T. Nabatame et al., Appl. Phys. Express 12, 011009 (2019).

[2] E. Maeda et al., Microelectron. Eng. 216, 111036 (2019).

[3] T. Onaya et al., Microelectron. Eng. 215, 111013 (2019).

[4] T. Onaya et al., APL Mater. 7, 061107 (2019).

1:30 PM AA-WeA-3 The Effect of Oxygen Source on Ferroelectricity of Atomic Layer Deposited Hf0.5Zr0.5O2 Thin Film
Yong Chan Jung, Jaidah Mohan (University of Texas at Dallas); Harrison Kim, Heber Hernandez-Arriga (The University of Texas at Dallas); Takashi Onaya (Meiji University, Japan); Kihyun Kim, Namhun Kim (The University of Texas at Dallas); Si Joon Kim (Kangwon National University, Republic of Korea); Atsushi Ogura (Meiji University, Japan); Rino Choi (Inha University, South Korea); Jinho Ahn (Hanyang University, Republic of Korea); Jiyoung Kim (University of Texas at Dallas)

Recently, ferroelectric Hf0.5Zr0.5O2 (HZO) thin films have caught great interest for non-volatile memory and field-effect transistor applications, due to their high scalability and compatibility with CMOS process.1 In our previous work, we achieved a low thermal budget process (400 °C) under large tensile strain (about 3-5 GPa) using TiN top and bottom electrodes.2 The HZO films using the TiN electrodes can prevent degradation of the ferroelectric properties from hydrogen incorporation owing to the TiN electrodes can act as a barrier layer against hydrogen diffusion. However, during the deposition of HZO film using atomic layer deposition (ALD), the choice of oxygen source can affect the residue in the deposited film. When hydrogen-related substances are used as the oxygen source, the ferroelectric properties can be altered by the residues remaining in the HZO film.

Therefore, in this study, we investigated the effects of the oxygen source, especially O3, H2O, and Deuterium oxide (D2O) on the ferroelectric properties of the ALD-HZO films. The HZO films with Hf:Zr ratio of 1:1 were deposited on the TiN bottom electrode by ALD using TDMA-Hf and TDMA-Zr as the precursors of Hf and Zr, while O3, H2O, or D2O as the oxidant. After the TiN top electrode was deposited, rapid thermal annealing was done, and metal-insulator-metal capacitors were fabricated using a Pd/Au hard mask and wet etch process.

Regardless of the oxidant, the HZO films exhibited the formation of a non-centrosymmetric orthorhombic phase which is origin of the ferroelectricity.3 However, it was confirmed that the ferroelectricity of the HZO film deposited with the source related to hydrogen such as H2O and D2O, is worse than the HZO film deposited with O3. From the polarization-electric field curves, the remnant polarization (2Pr) of O3-, H2O-, and D2O-based HZO devices was 47, 38, and 34 µC/cm2, respectively. Whereas, the H2O- and D2O-based HZO devices exhibited higher leakage current than that of O3-based device. We suspected that the degradation of 2Pr and the leakage property is caused by more hydrogen incorporation in the HZO film when H2O and D2O oxidants are used. The result implies that the use of O3 as the oxygen source is advantageous for improving ferroelectric properties of the ALD-HZO films.

This work is supported by the National Research Foundation of Korea (NRF) grant (No. NRF-2019R1F1A1059972), Brain Pool Program (No. 2019H1D3A2A01101691), and the Fostering Global Talents for Innovative Growth Program (No. P0008750).

1 S.J. Kim, et al., Appl. Phys. Lett. 113, 182903 (2018).

2 S.J. Kim, et al., Appl. Phys. Lett. 111, 242901 (2017).

3 S.J. Kim, et al., JOM 71, 246 (2019). View Supplemental Document (pdf)
1:45 PM AA-WeA-4 Deposition of Inherently Ferroelectric Films by ALD Using ZrD-04 and HfD-04
Vijay K. Narasimhan (Intermolecular, Inc.); Jean-Sebastien Lehn (EMD Performance Materials); Karl Littau (Intermolecular); Jacob Woodruff, Ravindra Kanjolia (EMD Performance Materials)

ALD HfO2- and ZrO2-based ferroelectrics enable novel, CMOS-compatible devices for both logic and memory1. Although the most stable bulk crystal structure of these materials is a monoclinic phase, this phase does not support ferroelectricity. Other polymorphs (for example, some orthorhombic and rhombohedral phases) have the symmetry required to support ferroelectric switching behavior, while still others (for example, a tetragonal phase) can be anti-ferroelectric2. In many hafnium oxide and zirconium oxide ALD processes, the thin films are amorphous as deposited. Therefore, obtaining a desired ferroelectric phase depends not only on the deposition conditions of the film itself, but also on the choice of capping interfaces3 and annealing conditions4 after deposition.

Here, we demonstrate a pathway to achieving phase control in zirconia and hafnia ALD films that does not depend on capping or post-deposition annealing. We use the advanced metallocene precursors (MeCp)2Zr(OMe)Me and (MeCp)2Hf(OMe)Me (commonly known as ZrD-04 and HfD-04, respectively). These precursors have an ALD window that can extend to near or above the crystallization temperature of zirconia and hafnia thin films5. Using GIXRD, we examine the phase of films deposited with different reactants (i.e. water and ozone), growth temperatures, and thicknesses. We show that, for ZrO2 in particular, the as-deposited films are crystalline across a wide process space and that the films are phase-stable after subsequent thermal processing. We extend previously published results6 to elucidate a processing window where the non-ferroelectric monoclinic phase can be substantially suppressed. We use the results from the unit film studies of ZrO2 and HfO2 to create a blended ZrO2/HfO2 film that is inherently ferroelectric, demonstrating strong remanent polarization with no explicit post-deposition cap and annealing. These results provide a pathway to developing ferroelectric devices in which the electrodes and thermal processing conditions of the entire stack can be optimized independently with minimal effects on the ferroelectric layer.

1M. H. Park, Y. H. Lee, T. Mikolajick, and U. Schroeder, MRS Comm., 8, 795 (2018).

2S. V. Barabash, J. Comp. Electron., 16, 1227 (2017).

3R. Cao et al., IEEE Elec. Dev. Lett., 39, 1207 (2018).

4P. Polakowski and J. Muller, Appl. Phys. Lett. 106, 232905 (2015).

5C. L. Dezelah IV et al., Chem. Vap. Dep., 14, 358 (2008).

6A. Lamperti, L. Lamagna, G. Congedo, and S. Spiga, J. Electrochem. Soc., 158, G221 (2011).

View Supplemental Document (pdf)
2:00 PM AA-WeA-5 Ferroelectricity of 300°C Low Temperature Fabricated HfxZr1−xO2 Thin Films by Plasma-Enhanced Atomic Layer Deposition using Hf/Zr Cocktail Precursor
Takashi Onaya (Meiji University, Japan); Toshihide Nabatame (National Institute for Materials Science, Japan); Yong Chan Jung (University of Texas at Dallas); Heber Hernandez-Arriaga (The University of Texas at Dallas); Jaidah Mohan (University of Texas at Dallas); Harrison Kim, Ava Khosravi (The University of Texas at Dallas); Naomi Sawamoto (Meiji University, Japan); Chang-Yong Nam, Esther H. R. Tsai (Brookhaven National Laboratory); Takahiro Nagata (National Institute for Materials Science, Japan); Robert M. Wallace (The University of Texas at Dallas); Jiyoung Kim (University of Texas at Dallas); Atsushi Ogura (Meiji University, Japan)

Recently, low temperature fabrication process for ferroelectric HfxZr1xO2 (HZO) films has attracted a lot of attention for use in future device application, such as FeRAM and FeFET. The amorphous HZO films deposited by thermal atomic layer deposition (TH-ALD) using H2O or O3 as an oxidant gas were generally employed, then, an annealing process at > 400°C with TiN top- and bottom-electrodes (TE- and BE-TiN) was performed to obtain ferroelectric orthorhombic (O) phase due to inducing tensile stress from TiN to the HZO film. [1] On the other hand, we focused on plasma-enhanced ALD (PE-ALD) using O2 plasma, because the as-grown HZO film had nanocrystalline structure with O, tetragonal, and cubic (O/T/C) phases. In this work, we studied the ferroelectricity and crystallinity of PE-ALD HZO films using a low temperature annealing process at 300–400°C.

The TiN/HZO/TiN capacitors were fabricated as follows: A 10-nm-thick HZO film was deposited on BE-TiN by PE-ALD at 300°C using (Hf/Zr)[N(C2H5)CH3]4 (Hf:Zr = 1:1) cocktail precursor and O2 plasma. TE-TiN was then fabricated by DC sputtering. Finally, post-metallization annealing (PMA) was performed at 300–400°C for 1 min in a N2 atmosphere. Pulse write/read measurement was employed to obtain the remanent polarization (Psw) and dielectric constant (k). [1] The crystallinity was analyzed using synchrotron wide-angle X-ray scattering (WAXS) at NSLS-II, Brookhaven National Laboratory.

The PE-ALD HZO film after PMA at 300°C clearly showed ferroelectricity with Psw of 28 µC/cm2 and exhibited high k of 41, while the HfO2-based films with stable ferroelectricity using a lower process temperature below 400°C have not been reported. The Psw of PE-ALD HZO films increased with PMA temperature. For synchrotron WAXS patterns of PE-ALD HZO films, the peaks originating from O/T/C phases were clearly observed while those from the paraelectric monoclinic (M) phase were suppressed using a low temperature PMA process even at 300°C, leading to the stable ferroelectricity. This might be because nanocrystal grains with O/T/C phases in the as-grown HZO film play an important role as nuclei for the crystal growth of the HZO film during PMA process, while the as-grown HZO film deposited using TH-ALD typically have an amorphous structure. In addition, the phase transformation from C-phase to O/T-phases was observed as PMA temperature increased, resulted in high Psw.

In conclusion, PE-ALD and a low temperature PMA process at 300°C are promising methods for the fabrication of ferroelectric HZO films with superior Psw.

This work was partially supported by JSPS KAKENHI (JP18J22998).

[1] S. J. Kim et al., Appl. Phys. Lett. 111, 242901 (2017). View Supplemental Document (pdf)
2:15 PM AA-WeA-6 Atomic Layer Deposition of GeS Film for 3D Cross-Point Memory Scaling
Myoungsub Kim, Youngjun Kim, Inkyu Sohn, Hyungjun Kim (Yonsei University, Republic of Korea)

Recently, the emergence of storage class memory (SCM) in the memory hierarchy has attracted attention as a new role for improving the performance of computing systems [1]. The 3D cross-point (X-point) memory using chalcogenide amorphous materials as ovonic threshold switching (OTS) selectors, is the most powerful product for SCM and already requires future scaling research. The X-point memory of today`s planar 3D structure is expected to change to a vertical 3D X-point structure, as in the history of NAND flash, so it is necessary to study chalcogenide ALD for OTS application.

We present ALD study of GeS thin film motivated by the expectation of lower off-current for device scaling and larger array implementations because it is known to have higher optical bandgap than GeTe and GeSe films, recently published in ALD studies [2][3]. The ALD GeS amorphous film synthesis using commercially available GeCl4 precursor and H2S reactant was minutely investigated on RF plasma, temperature effect and interesting non-conventional saturation growth behavior. GeS films were identified quantitative composition and impurities level through auger electron spectroscopy (AES) depth profile analysis, and the tunable Ge and S composition ratio results were obtained by controlling the deposition temperature and plasma power. And excellent thermal stability of GeS amorphous film up to 600℃ was confirmed using in situ heating X-ray diffraction (XRD). In addition, atomic layer deposited GeS film was confirmed the good conformality in the vertical 3D patterned wafer using focused ion beam transmission electron microscopy (FIB-TEM).

Finally, the devices fabricated using ALD GeS were studied the scaling effect of OTS electrical characteristics on the decrease of GeS film thickness from 30nm to 5nm and the decrease of bottom electrode contact size from 80nm to 40nm. The achievement of a novel binary GeS ALD study for OTS selector will contribute to the development of 3D X-point memory scaling in the future.

[1] T. Kim et al., “High-performance, cost-effective 2z nm two-deck cross-point memory integrated by self-align scheme for 128 Gb SCM,” Tech. Dig. - Int. Electron Devices Meet. IEDM, vol. 2018-Decem, pp. 37.1.1-37.1.4, 2019.

[2] L. Cheng, V. Adinolfi, S. L. Weeks, S. V. Barabash, and K. A. Littau, “Conformal deposition of GeTe films with tunable Te composition by atomic layer deposition,” J. Vac. Sci. Technol. A, vol. 37, no. 2, p. 020907, 2019.

[3] W. Kim et al., “Atomic layer deposition of GeSe films using HGeCl3 and [(CH3)3Si]2Se with the discrete feeding method for the ovonic threshold switch,” Nanotechnology, vol. 29, no. 36, Jul. 2018.

2:30 PM Break
3:00 PM AA-WeA-9 Atomic Layer Annealing of AlN to Template The Growth of High Thermal Conductivity Heat Spreader Films
Scott Ueda, Aaron McLeod (University of California, San Diego); Michelle Chen, Chris Perez, Eric Pop (Stanford University); Dan Alvarez (RASIRC); Andrew Kummel (University of California, San Diego)

The low-temperature (<400 oC) deposition of polycrystalline AlN films is demonstrated by atomic layer annealing (ALA) which is a variant of ALD that utilizes a third pulse of ions in addition to the usual metal and co-reactant pulses [1]. As transistor size continues to decrease, it becomes a significant challenge to remove the heat generated during the operation of microelectronic circuits. The use of electrically conductive heat spreaders complicates 3D integration in VLSI CMOS or stack memory devices and induces parasitic losses in RF MMICs. Crystalline AlN has a thermal conductivity close to that of Cu and is a good electrical insulator making it an ideal heat spreader material.

Using trimethyl aluminum (TMA) and the highly reactive nitrogen-containing precursor hydrazine (N2H4), AlN can be deposited at 200 oC [2]; however, these films are amorphous and would have low thermal conductivity due to phonon scattering. Using tris(dimethylamido) aluminum (TDMAA) or tris(diethylamido) aluminum (TDEAA) with N2H4 or NH3 at temperatures >350 oC, polycrystalline films can be deposited in a purely thermal process; however, the reported grain sizes are small (<5 nm) or there is a mixture of polycrystalline and amorphous phases [3-4]. ALA has been used to deposit crystalline films such as AlN [1,5] and GaN [6] at low temperature, but a nitrogen-containing plasma was used.

In the present study of AlN ALA, two metal precursors (TMA and TDMAA) were compared using anhydrous N2H4 as a co-reactant and argon ions with tuned energy for the third pulse. High-quality AlN films are deposited with large grain size and low C/O contamination which can then be used as a templating layer for further high-speed AlN film growth.

The deposition of high quality, stress controlled AlN films deposited by ALA are successfully used as templates for thicker heat spreading layers deposited via sputtering and polycrystalline sputtered AlN films with near-record thermal conductivities of ~70 Wm-1K-1 were obtained for sub-0.5 micron thick films.

[1] H-Y. Shih et al, Scientific Reports 7:39717.

[2] M. Mizuta et al, Japanese J. Appl. Phys. 25(12), L945-L948 (1986).

[3] R. G. Gordon, U. Riaz, and D. M. Hoffman, J. Mater. Res., 7(7) (1992).

[4] A. I. Abdulagatov et al Russian Microelec. 47(2), 118-130 (2018).

[5] W-C. Kao et al, RSC Adv. 9, 12226-12231 (2019).

[6] W-H. Lee et al ACS Sustainable Chem. Eng. 7,1, 487-495 (2019).

Research was sponsored by ASCENT-JUMP-SRC-DARPA and the TDMAA was donated by EMD.

3:30 PM AA-WeA-11 Precision Defect Engineering of Metal/Insulator/Metal (MIM) Diodes Using Localized ALD Transition Metal Impurities
Konner Holden, Yitong Qi, John F. Conley, Jr. (Oregon State University)

Thin film MIM tunnel diodes are finding increased interest for high-speed applications such as THz detection and energy harvesting. Asymmetry of current density vs. field (J-ℰ) (ƒasym= J-/J+) in MIM diodes is typically achieved through the metal electrode work function difference (ΔΦM). Using ALD to form an asymmetric nanolaminate insulator tunnel barrier enables MIIM diodes that exhibit enhanced low voltage performance due to "step tunneling" in which electrons under one polarity tunnel through only the wider gap insulator instead of both.1 Replacing the narrow EG insulator with one containing intrinsic defects, further improves low ℰ performance via "defect-enhanced direct tunneling" (Fig. 1).2,3 Here, we investigate the impact of intentionally introduced extrinsicdefects by using ALD to place Ni at precise locations in an ALD Al2O3 MIM tunnel barrier.

ALD of Al2O3 on TiN bottom electrodes was performed at 200 °C using TMA and H2O. Five samples were prepared in which a baseline 100 ALD cycle Al2O3 sequence (10 nm thick) was interrupted by two ALD cycles of Ni(tBu2DAD)2 and O3, after either 25, 50, 75, or every 25 cycles of Al2O3 (Fig. 1). Al was then evaporated through a shadow mask. As-deposited MIM devices were tested with bias applied to the Al top electrode.

DC J-ℰ sweeps of the 100 ALD cycle Al2O3-only device show Fowler-Nordheim tunneling (FNT) at high ℰ, with ƒasym> 1 due to ΔΦM ≈ 0.2 eV (Fig. 2). The addition of Ni cycles in all cases leads to an increase in J at low ℰ, suggesting defect-related conduction. At high ℰ, however, J of all Ni containing devices is lower than the baseline 100 c device, suggesting suppression of FNT. The 25/2/75 and 75/2/25 (Al2O3/NiO/Al2O3) devices show reversed polarity asymmetry to the 100 c device, while the symmetrically doped 50/2/50 and 25/(2/25)x3 devices are roughly symmetric. The reduction in J at large negative ℰ, ƒasym reversal, and reduced J-ℰ slope for the Ni devices suggest the presence of negative charge in the Al2O3. Internal photoemission (IPE) spectroscopy reveals modified metal/insulator barrier heights and MIM and MOS CV sweeps (Fig. 3) show positive shifts in V(Cmin) and VFB, respectively, for all Ni devices. Taken together, these results suggest negative charge in the Al2O3 associated with the ALD Ni impurities.

The asymmetry reversal demonstrates the potential of ALD for precision defect engineering of MIM tunnel devices. J-ℰ, C-V, temp-IV, frequency-CV, IPE, TEM crosscuts, and annealing will be presented.

1. Alimardani et al., Appl. Phys. Lett. 102, 143501 (2013).

2. Alimardani et al., J. Appl. Phys. 116, 024508 (2014).

3. Alimardani and Conley, Jr., Appl. Phys. Lett. 105, 082902 (2014).

View Supplemental Document (pdf)
3:45 PM AA-WeA-12 Two-Dimensional Electron Gas at the Interface of an Atomic-Layer-Deposited Binary Oxides Ultrathin (< 5 nm) Film Heterostructures
Tae Joo Park, Ji Hyeon Choi, Tae Jun Seok, Yuhang Liu (Hanyang University, Republic of Korea); Jae Hyuck Jang (Korea Basic Science Institute, Republic of Korea); Sang Woon Lee (Ajou University, Republic of Korea); Deok Yong Cho (Chonbuk National University, Republic of Korea)

Recently, two-dimensional electron gas (2DEG) has attracted great attention due to its presence at various heteroepitaxial perovskite oxide interfaces. Typically, epitaxial LaAlO3/single-crystal SrTiO3 (LAO/STO) heterostructure shows high density of electrons (~1013-1014 cm-2) confined at the oxide interface, where the density is about ~100 times higher than that of a typical semiconductor interface (~1011-1012 cm-2).[1] Creation of 2DEG is conventionally defined on the basis of discontinuity in polarity linked with the difference in the charge of the atomic layers. Simply, it can be described as half an electron being transferred to the interface to avoid the potential divergence, ‘polar catastrophe’.[2] Another mechanism is associated with the generation of oxygen vacancies (Vo) at the surface of the STO layer during LAO or Al2O3 layer deposition, acting as electron donor for the 2DEG formation. It provides an opportunity for 2DEG realization for various oxide heterostructures and fabrication processes. Recently, we reported Vo generation mechanism-based 2DEG formation process using atomic-layer-deposited (ALD) ultrathin (~10 nm) binary metal oxide heterostructure. 2DEG layer can be formed at the interface of Al2O3/TiO2 heterostructure on a thermally oxidized SiO2/Si substrate at a low temperature (< 300 ℃) without any epitaxial layer.[3] The Al2O3/TiO2 heterostructure has comparable electrical properties with typical LAO/STO epitaxial 2DEG system at room temperature (sheet carrier density, nsh= ~1014 cm-2, electron mobility, μn= ~4 cm2V-1s-1). An in-situ resistance measurement directly demonstrated that the resistance of the oxide heterostructure interface dropped significantly with the injection of trimethylaluminum (TMA) molecules, indicating that Vo were formed on the TiO2 surface during the TMA pulse in the ALD of the Al2O3 film, such that they provide electron donor states to generate free electrons at the interface of the ultrathin Al2O3/TiO2 heterostructure.[4] In this work, we demonstrate improved field-effect transistors (FETs) using ultrathin Al2O3/TiO2 heterostructure 2DEG system with a high on-current (Ion > ~12 A/m), low off-current (Ioff ~10-8 A/m), high on/off current ratio (Ion/Ioff > ~108), and low subthreshold swing (SS ~100 mV/dec.), which outperforms the oxide heterostructure-based FETs reported so far. Ultrathin (~7 nm) TiO2 bottom layer is easy to fully depleted, allowing an extremely low Ioff and low SS with maintaining high Ionvia 2DEG channel with a high carrier density at the interface. The heterostructures with the other ultrathin oxide combinations (< 5 nm) were also studied.

Session Abstract Book
(347KB, Jul 28, 2020)
Time Period WeA Sessions | Abstract Timeline | Topic AA Sessions | Time Periods | Topics | ALD2020 Schedule