ALD2020 Session ALE1-TuA: ALE of Si-based Materials

Tuesday, June 30, 2020 1:00 PM in Room Baekeland

Tuesday Afternoon

Session Abstract Book
(302KB, Jul 28, 2020)
Time Period TuA Sessions | Abstract Timeline | Topic ALE Sessions | Time Periods | Topics | ALD2020 Schedule

Start Invited? Item
1:00 PM ALE1-TuA-1 Realizing Selective Material Removal in Plasma-Based Atomic Layer Etching (ALE)
Gottlieb Oehrlein (University of Maryland)

In atomic layer etching (ALE) one applies iteratively sequences of cycles consisting of reactant supply and surface reaction steps to establish self-limited material removal approaching atomic scale. Since for ALE the reactant is injected only during the surface functionalization step, the surface changes continuously which has important implications for achieving materials etching selectivity. We will discuss several examples of how high materials etching selectivity in ALE may be achieved. In a first approach we describe the use of complex fluorocarbon film precursors to achieve highly selective ALE of SiO2 over various underlayers. Additionally, reactants during the deposition step may exhibit substrate-selective deposition based on the chemical affinity of precursor gases to the substrate and nature of interfacial bonding. Combining substrate-selective deposition with a surface reaction step provides a new approach for achieving material-selective ALE, and we will describe results selective removal of HfO2 over Si surfaces. Another material system that can be improved via ALE processing is that of maximizing the etching selectivity of a hard mask material like SiO2 to extreme ultraviolet (EUV) photoresists in a pattern transfer process, and will be briefly reviewed.

Acknowledgements

I gratefully acknowledge the contributions and collaboration of K.-Y. Lin, A. Pranda, C. Li, D. Metzler, S. Engelmann, R. Bruce, and E. Joseph to this work, and would like to thank Semiconductor Research Corporation (Task No. 2017-NM-2726) and National Science Foundation (CBET-1134273) for funding.

1:30 PM ALE1-TuA-3 Atomic Layer Etching of SiO2 and Si3N4 with Fluorocarbon, Hydrofluorocarbon, Fluoroether and Fluoroalcohol Compounds
Yongjae Kim, Seoeun Kim, Hojin Kang, Yebin Lee, Heeyeop Chae (Sungkyunkwan University, Republic of Korea)

Highly precise etching technologies are required to etch nanometer scale patterns and to achieve high selectivity in atomic level etching. [1-3] In this work, cyclic plasma atomic layer etching process was performed for SiO2 and Si3N4 with surface modification in an inductively coupled plasma (ICP) reactor with fluorocarbon, hydrofluorocarbon, fluoroether and fluoroalcohol plasmas. Etch rate were compared at various conditions of plasma power and reaction gases. The process consists of two steps of surface modification and removal step. In the first step, fluorocarbon(FC) layers were deposited on SiO2 and Si3N4 surface with fluorocarbon, hydrofluorocarbon, fluoroether, and fluoroalcohol plasmas. In the second step, the modified layers are removed with ions or radicals generated from Ar or O2 plasmas. The etch rate was correlated with the fluorine-carbon (F1s/C1s) ratio determined from XPS peaks. Fluoroether and fluoroalcohol plasma generated FC layers having the lowest F1s/C1s ratio, and C4F8 plasmas produced the highest F1s/C1s ratio. Constant etch rates were observed in the bias voltage range of 55–60 V, which is identified as the ALE window. Self-limiting etch rate was confirmed with the etching process time and the etch rate could be controlled below 10 Å/cycle. High selectivities of SiO2/Si and Si3N4/Si were obtained with fluoroether precursor having low F1/C1s ratio and the higher carbon on surface is attributed to the lower Si etch rate.

References

[1] V. M. Donnelly and A. Kornblit, J. Vac. Sci. Technol., A 31, 050825 (2013).

[2] H. Zhu, X. Qin, L. Cheng, A. Azcatl, J. Kim and R. M. Wallace, ACS Appl. Mater. Interfaces 8, 19119 (2016).

[3] Y. Lee and S. M. George, ACS Nano 9, 2061 (2015).

Keywords: Cyclic plasma etching, Fluorocarbon polymer, Selectivity

1:45 PM ALE1-TuA-4 Strategies to Enhance the Etch Selectivity During Plasma‑Assisted Atomic‑Scale Etching of SiO2 over SiNx
Ryan Gasvoda (Colorado School of Mines); Zhonghao Zhang, Eric Hudson (Lam Research Corp.); Sumit Agarwal (Colorado School of Mines)

Atomic layer etching (ALE) and its derivatives can provide high etch fidelity, atomic‑scale precision, directionality, and high selectivity that is required for manufacturing of sub‑7‑nm node semiconductor devices. Specifically, plasma‑assisted ALE of SiO2 and SiNx with ultra‑high selectivity of SiO2 to SiNx is required in the self‑aligned contact etch step. Generally, high selectivity can be achieved through manipulating the process and plasma parameters (similar to continuous etching). To further increase overall etch selectivity, we selectively functionalize the SiNx surface with benzaldehyde prior to the start of etching. This pre‑functionalization retards the net etch of SiNx in the subsequent ALE process leading to higher SiO2 to SiNx etch selectivity. This etch selectivity can be reversed by selectively functionalizing the SiO2 surface.

In this study, we used in situ attenuated total reflection Fourier transform infrared (ATR‑FTIR) spectroscopy in combination with in situ 4‑wavelength ellipsometry to monitor surface reactions, film composition as well as etch per cycle during ALE. Further, the ion energy distribution (IED) in the Ar plasma activation half‑cycle is characterized with a retarding field energy analyzer. We have shown previously that cyclic azasilanes can be used to selectively functionalize the SiO2 surface from the gas phase. Figure 1 shows the infrared active region of a SiNx (green) and SiO2 (blue) surface exposed to benzaldehyde which selectively functionalizes the SiNx surface with sp2‑hybridized‑carbon. Figure 2 shows the infrared absorbance change for 15 sequential ALE cycles on a) bare SiNx surface and b) benzaldehyde functionalized SiNx. In both cases, we observe a broad increase in absorbance from ~1200 – 1800 cm‑1 which indicates the formation and accumulation of an etch inhibiting graphitic fluorocarbon film. An etch stop is observed at cycle 13 for both SiNx surfaces, however the net etch of SiNx is ~20% less (~2 nm) for the benzaldehyde functionalized SiNx than the net etch of the bare SiNx. This shows that pre‑functionalizing a SiNx surface, selective to a SiO2 surface, can reduce the overall SiNx etch loss. Further, we discuss the role of maximum ion energy and IEDs in the activation step on overall etch selectivity with specific focus on non‑ideal Ar plasma activation steps where the maximum ion energy is above the sputtering threshold of both SiO2 and SiNx.

View Supplemental Document (pdf)
2:00 PM ALE1-TuA-5 Cryo-ALE of SiO2 with C4F8 Physisorption: Process Understanding and Enhancement
Gaëlle Antoun, Thomas Tillocher, Philippe Lefaucheux, Rémi Dussart (GREMI Université d'Orléans/CNRS, France); Aurélie Girard, Christophe Cardinaud (IMN Université de Nantes/CNRS, France); Kumiko Yamazaki (Tokyo Electron Limited, Japan); Jacques Faguet, Kaoru Maekawa (TEL Technology Center, America, LLC)

Cryogenic Atomic Layer Etching (Cryo-ALE) is a different approach for ALE, proposed in order to limit drifts due to reactor wall contamination during a process. The proof of principle has been performed on SiO2 using a fluorocarbon gas and presented in 1.In this process, C4F8 is injected in gas phase, and physisorbs on the cooled surface of the substrate. Then Ar plasma is initiated in order to activate the etch. However, in 1, the authors demonstrated the possibility to achieve the etching only at -120°C in their experimental conditions. The aim of this paper is to better understand the mechanisms involved in Cryo-ALE in order to enhance the process and increase the operating temperature.

In order to achieve this work, an ICP reactor has been used. An in-situ ellipsometer as well as an Electrostatic Quadrupole Mass Spectrometer (QMS) are coupled to the reactor. The ellipsometer is used in kinetic mode in order to follow the thickness variation during the process. The QMS is used to follow some species in Multiple Ion Detection (MID) mode. Tests were all performed on SiO2 coupons glued on SiO2 6” carrier wafers.

In addition, for surface characterization, quasi in-situ X-Ray Photoelectron Spectroscopy (XPS) measurements were also performed. The ICP reactor used here is different and the substrate is moved from the reactor to the XPS chamber with a transfer rod while keeping the substrate at low temperature.

In order to understand the mechanisms involved in Cryo-ALE, QMS tests were first performed by injecting a C4F8 gas flow during one minute on a cooled surface. The substrate temperature and the pressure of C4F8 were then varied in order to determine their influence on the surface residence time of C4F8. Those tests were also followed by ellipsometry in order to monitor the thickness physisorbed on the substrate surface. XPS tests in same conditions were performed and correlated with QMS results. Indeed, it has been demonstrated that decreasing temperature increases the C4F8 surface residence time and hence increases the concentration of fluorine at the surface.

With those data, it was possible to perform new cryo-ALE cycles by increasing the temperature to -90°C. Hence, very regular 150 cryo-ALE cycles were achieved with an etching amount of 0.13 nm/cycle.

The authors would like to thank S.Tahara for all the discussions that helped contributing to those results.

1 G. Antoun, P. Lefaucheux, T. Tillocher, R. Dussart, K. Yamazaki, K. Yatsuda, J. Faguet, and K. Maekawa, Appl. Phys. Lett. 115, 153109 (2019).

2:30 PM ALE1-TuA-7 Interpretation of SiO2 Atomic Layer Etching via a Simple Analytic Model
Youngseok Lee, Inho Seong, Jangjae Lee, Sangho Lee, Chulhee Cho (Chungnam National University, Korea); Sijun Kim (Nanotech, Korea); Shinjae You (Chungnam National University, Korea)

We established a simple analytic model of SiO2 thickness changes during SiO2 atomic layer etching (ALE) and analyzed our experimental data of sample thickness change trends at various ALE conditions via our model. SiO2 ALE experiments were conducted with C4F8/Ar inductively coupled plasma by admitting C4F8 gas into continuous Ar plasma for surface modification (reaction A) of the SiO2 surface and turning the C4F8 gas off for removal of the modified surface (reaction B) by the Ar plasma ion bombardment. During that process, the thickness change of the SiO2 samples was monitored using an in-situ multi-wavelength ellipsometer, which showed an increase of the total sample thickness including that of a fluorocarbon (CFx) film deposited on the SiO2 surface during reaction A and a decrease during reaction B. Then, the ellipsometric results were fitted with our analytic model, which is based on the mechanism of conventional SiO2 etching using CFx plasma that has previously been understood in detail. As a result, our model could explain several characteristic trends of the sample thickness change under different conditions, and the trends seemed to be mainly related to the deposition rate of CFx film on the SiO2 surface. The higher CFx film deposition rate is expected to lead to more desirable ALE results. In addition to experimental data analysis, useful insights for advanced SiO2 ALE could be achieved through the model, helping to decide the optimized ALE condition. In this presentation, physical validity of the model and SiO2 ALE interpretation that was obtained by the model will be discussed.

Session Abstract Book
(302KB, Jul 28, 2020)
Time Period TuA Sessions | Abstract Timeline | Topic ALE Sessions | Time Periods | Topics | ALD2020 Schedule