ALD2020 Session AS-WeA: Selective ALD II & III

Wednesday, July 1, 2020 1:00 PM in Room Baekeland

Wednesday Afternoon

Session Abstract Book
(291KB, Jul 28, 2020)
Time Period WeA Sessions | Abstract Timeline | Topic AS Sessions | Time Periods | Topics | ALD2020 Schedule

Start Invited? Item
1:00 PM AS-WeA-1 Area-Selective Atomic Layer Deposition of 2D WS2 Nanolayers using Inhibitor Molecules
Shashank Balasubramanyam, Marc Merkx (Eindhoven University of Technology, Netherlands); Marcel Verheijen (Eurofins Materials Science Netherlands B.V., Netherlands); Erwin Kessels, Adrie Mackus, Ageeth Bol (Eindhoven University of Technology, Netherlands)

Due to the continued downscaling of device dimensions, ultra-thin two dimensional (2D) semiconductors like WS2 are considered as promising materials for future applications in nanoelectronics. At these nanoscale regimes, device fabrication with precise patterning of critical features is challenging using current top-down processing techniques. In this regard, area-selective atomic layer deposition (AS-ALD) has emerged as a promising technique for bottom-up processing to address the complexities of nanopatterning.1 To date, AS-ALD of metals and dielectrics have been successfully demonstrated.1 However, AS-ALD of 2D materials has remained elusive. In this contribution, we demonstrate area-selective deposition of 2D WS2 nanolayers by using a three-step (ABC-type) plasma-enhanced ALD process.

AS-ALD of WS2 was achieved by using acetylacetone (Hacac) inhibitor (A), bis(tertbutylimido)-bis(dimethylamido)-tungsten precursor (B), and H2S plasma (C) pulses at a low deposition temperature of 250 °C. This process resulted in immediate growth on: (1) SiO2 (commonly used substrate for the growth of 2D TMD layers), (2) 2D TMDs such as MoS2, NbS2, and TiS2 and (3) metal oxides such as MoO3, Nb2O5 and WO3 while effectively blocking growth on Al2O3 and HfO2 surfaces, as determined from in situ spectroscopic ellipsometry and ex situ X-ray photoelectron spectroscopy measurements. The surface chemistry of this selective process was analysed by in-situ Fourier transform infrared spectroscopy. The analyses revealed that the inhibitor adsorbed on the non-growth surfaces (Al2O3), blocking precursor adsorption, while little or no inhibitor adsorption was detected on the growth surface (SiO2) where WS2 was readily deposited. As WS2 grows readily on several TMD surfaces, our process can be also used to selectively grow 2D TMD vertical heterostructures (e.g. WS2 on MoS2) in presence ofthe non-growth areas (i.e., Al2O3 and HfO2).

To improve the crystallinity, the AS-ALD WS2 films were annealed at temperatures within the thermal budget of industrial semiconductor processing (≤ 450°C). The annealed films exhibited sharp Raman peaks, which is a fingerprint of highly crystalline WS2. Furthermore, Raman line scans over the patterns showed very sharp peak intensity transitions at the SiO2-Al2O3 interface which confirmed that annealing had no impact on selectivity.

To summarize, this work pioneered the combination of two key avenues in atomic-scale processing: area-selective growth and ALD of 2D materials. It is expected that the results of this work will lay the foundation for area-selective ALD of other 2D materials.

Reference:

1 Mackus et al., Chem. Mater.31, 2–12 (2019).

View Supplemental Document (pdf)
1:15 PM AS-WeA-2 Kinetic Modeling of Ru Area-Selective Atomic Layer Deposition on Nanopatterns
Jan-Willem Clerix (KU Leuven, Imec, Belgium); Estaban Alonso Marques (KU Leuven, Imec, TU Delft); Job Soethoudt (KU Leuven, Imec, Belgium); Fabio Grillo (ETH Zurich, Switzerland); Geoffrey Pourtois (Imec, Belgium); Ruud van Ommen (Delft University of Technology, Netherlands); Annelies Delabie (Imec, Belgium)

Area-Selective Deposition (ASD) is emerging as a promising bottom-up approach for nano-electronic device fabrication. However, unintentional growth on the non-growth area is a major challenge during ASD by atomic layer deposition (ALD). In addition, processes at the interface between growth and non-growth areas remain poorly understood. Insight into the growth mechanisms during ALD on nanoscale patterns can contribute to the development of ASD processes.

First, we investigate the mechanism of (ethylbenzyl)(1-ethyl-1,4-cyclohexadienyl)Ru/O2 (EBECHRu) ALD on different blanket non-growth surfaces by experimentally parametrized mean field and kinetic Monte Carlo models (KMC) [1]. We compare two hydrophobic methyl-terminated surfaces: SiO2-Si(CH3)3 and organosilicate glass (OSG). The extent of growth inhibition during Ru ALD differs for these two cases. We identify a growth mechanism that describes the Ru areal density, surface coverage and particle size distribution and their evolution during ALD. Ru nanoparticles initially grow mainly by surface diffusion and aggregation. Precursor adsorption on the Ru nanoparticles occurs only when they reach a critical diameter of 0.85 nm, as smaller particles do not catalyze O2 dissociation. The initial precursor adsorption is faster on SiO2-Si(CH3)3 and the diffusion length of Ru adatoms and nanoparticles is larger. This explains the higher Ru content and larger particle size for a given number of cycles (Figure 1).

Informed by such insights, we use KMC simulations to study the impact of surface diffusion near the interface between growth and non-growth areas. We use the model parameters as determined for Ru ALD on blanket substrates and assume the growth area to act as sink for Ru nanoparticles. The simulations indicate a depletion zone with low nanoparticle density on the non-growth surface near the interface with the growth area (Figure 2).

We conclude that the interface between growth and non-growth areas plays an important role during ASD, and that the growth mechanisms critically depend on the surface properties and functionalization.

[1] J. Soethoudt et al., Adv Mater. Interfaces 5, 1800870(2018).

View Supplemental Document (pdf)
1:30 PM AS-WeA-3 Area-Selective Atomic Layer Deposition on Chemically Similar Materials
Tzu-Ling Liu, Stacey F. Bent (Stanford University)

Traditional top-down semiconductor fabrication processes are facing more challenges as a result of the scaling down of devices. Multiple processing steps not only increase the process complexity and cost but also introduce more process errors, such as with two-dimensional overlay and edge placement. Area-selective atomic layer deposition (AS-ALD), which allows direct deposition of materials on desired regions, is considered to be one promising solution and has been attracting more attention recently. One common way to selectively inhibit ALD growth on specific regions is to use self-assembled monolayers (SAMs), which form spontaneously on specific surfaces, depending on the reactivity of the SAM headgroup with substrates.

Despite the apparent simplicity of using SAMs as inhibitors for AS-ALD, the approach requires the starting substrate to have materials with sufficiently different chemical properties to allow selective SAM adsorption. This can present challenges when the desired substrate pattern has chemically similar materials present on the surface at the same time, such as dielectric/dielectric systems. Hence, it is important to expand selective deposition to more general systems. In this study, we describe new area selective ALD systems in which the above challenge is addressed. We have performed area selective ALD on chemically similar materials by selective deposition of alkylsilane and phosphonic acid SAMs on different dielectric surfaces. By taking advantage of the distinct reactivity of SAMs on various surfaces, we can choose SAMs that pack differently, affecting the ALD blocking ability. We show that a relatively well-packed SAM which is able to block ALD can be formed on Al2O3, HfO2, TiO2 and TaN substrates, while a poor SAM is formed on SiO2 surfaces, after optimization of the SAM deposition. Selectivities greater than 90% are reached for 50 cycles ZnO ALD on SAM-treated Al2O3, TiO2 and TaN compared to the SAM-treated SiO2 surface, while a selectivity above 90% can be achieved for HfO2 versus SiO2 after 25 cycles ZnO ALD. In addition to MOx/SiO2, more general selectivity in MOx/MOx systems is also studied and we demonstrate AS-ALD in the Al2O3/HfO2 system where Al2O3 is the non-growth surface. With our strategy, we demonstrate the ability to perform AS-ALD on patterns with chemically similar materials such as TaN/SiO2, Al2O3/SiO2 and Al2O3/HfO2. This study gives insight into achieving more general selectivity and opens up the possibility for new applications in next generation electronic devices.

1:45 PM AS-WeA-4 Area-Selective Atomic Layer Deposition Al2O3 using a Small Thiol Inhibitor and Effects of Precursor Size
Han-Bo-Ram Lee, Bonwook Gu (Incheon National University, Republic of Korea)

Area-selective atomic layer deposition (AS-ALD) is attracting increasing interest because of its ability to enable both continued dimensional scaling and accurate pattern placement for next-generation nanoelectronics. Self-assembled monolayers (SAM) have been used as inhibitor to change the surface property in AS-ALD. The conventional SAMs, however, were relatively long and big in the range of 2~3 nm, so they are not suit for AS-ALD in few nanometer scale 3D patterns. In this work, we investigated ethanethiol (ET) for a small size inhibitor of AS-ALD on metal substrates, Co and Cu. We used two Al precursors with different molecular size, trimethyl-aluminum (TMA) and dimethyl-aluminum-isopropoxide (DMAI) for blocking property, with H2O counter reactant. ET was selectively absorbed on Cu and Co, but not on SiO2 and showed better inhibition property against ALD Al2O3 using DMAI than that using TMA. In order to investigate the different blocking property of ET to TMA and DMAI, we calculated the adsorption kinetics and dynamics of Al precursors on Cu and Co surfaces inhibited by ET using density-functional theory (DFT) and realized physical adsorption behavior based steric hindrance using Monte-Carlo (MC) simulation. DFT results showed that DMAI forms dimers during adsorption on surfaces, and TMA preferably reacts even on the CH3-terminted ET surfaces. Consistently, the steric hindrance simulation by MC showed a reasonable difference in Al precursor adsorptions on ET-inhibited Co and Cu surfaces. From the results and knowledge, we could extend the degree of freedom to obtain better AS-ALD property to selection of ALD precursors, and it could be applied to other AS-ALD materials systems.

View Supplemental Document (pdf)
2:30 PM Break
3:15 PM AS-WeA-10 Effect of Copper Surface Condition on Passivation Characteristics for Applications to Area Selective Atomic Layer Deposition
Su Min Hwang (University of Texas at Dallas); Harrison Kim, Jin-Hyun Kim (The University of Texas at Dallas); Yong Chan Jung (University of Texas at Dallas); Luis Fabian Pena, Kui Tan, Jean-Francois Veyan (The University of Texas at Dallas); Dan Alvarez, Jeffrey Spiegelman (RASIRC); Kashish Sharma, Paul Lemaire, Dennis Hausmann (Lam Research Corp.); Jiyoung Kim (University of Texas at Dallas)

Recently, area-selective atomic layer deposition (AS-ALD) by locally passivating the copper surface has garnered attention by reducing the number of processing steps as well as by alleviating key challenges associated with lithography and layer alignment at the sub-5 nm node.1–3 However, despite the enormous scientific effort in recent years, lack of surface science during cleaning and passivation of Cu surfaces impede the development of AS-ALD. Specifically, the effect of the copper surface on the quality of passivation materials, such as self-assembled monolayers (SAMs) has been rarely reported.

Herein, electroplated Cu films were treated using glacial acetic acid (CH3COOH) and anhydrous N2H4, respectively.4 After cleaning, the Cu samples were immersed in a 1 mM solution of octadecanethiols (ODTs) in ethanol for 20 h. To elucidate the surface chemistry and stability of ODTs, the passivated Cu samples were loaded into an in-situ reflectance absorption infrared spectroscopy (RAIRS) system equipped with an ALD chamber, then ALD of AlOx process was performed using TMA and H2O at 120 oC. During surface cleaning, CH3COOH removes surface adventitious contaminants (e.g., –CHx, –CO3, and –OH), and most importantly, reduces the surface oxide (Cu2O) to metallic copper by forming copper acetate as an intermediate material. In the ex-situ XPS and RAIRS, the SAMs on the CH3COOH-treated Cu sample gives poor selectivity of ALD-AlOx compared to the SAMs on the as-is Cu and N2H4-treated Cu, respectively. It implies that the residual copper acetate on the surface can affect the chemisorption of ODTs during passivation, eventually attributing a relatively lower surface coverage, poor thermal stability of ODTs, and poor selectivity during ALD process. To circumvent the issue, the effect of post-treatment after surface cleaning with CH3COOH was investigated. Vacuum treatment of the sample under the UHV condition (~10-8 Torr) can partially reduce the copper acetate by forming -CHx and -OH species. However, a post-annealing at 75 oC effectively removes the copper acetate and residual contaminants on the surface, which can improve not only ODTs quality in the passivation process but also the increase of nucleation delay during the consecutive ALD process. The detailed experimental results will be presented.

The authors acknowledge Lam Research Foundation for the partial financial support and Rasirc Inc. for providing the Brute N2H4 as well as their partial support.

1 N.F.W. Thissen et al., 2D Mater. 4, (2017)

2 L.F. Pena et al., ACS Appl. Mater. Interfaces 10, 38610 (2018)

3 M. He et al., J. Electrochem. Soc. 160, D3040 (2013)

4 S.M. Hwang et al., ECS Trans. 92, 265 (2019)

View Supplemental Document (pdf)
3:30 PM AS-WeA-11 Top and Bottom Ta2O5 Topographical Selective Deposition on 3D structures by Plasma Enhanced Atomic Layer Deposition
Taguhi Yeghoyan, Vincent Pesce, Moustapha Jaffal (LTM-UGA, France); Rémy Gassilloud, Nicolas Posseme (CEA-Leti, France); Marceline Bonvalot, Christophe Vallée (LTM-UGA, France)

Advanced nanoelectronics technologies, e.g. FinFETs or DRAM deep trench capacitors, are made of active 3D objects. This 3D structuration of matter increases integration complexity, adding multiple nanopatterning steps that affect the fabrication cost. Part of the patterning cost could be reduced if thin films could be selectively deposited on specific surfaces of the 3D structures, like pillars or trenches. Such Topographical Selective Deposition (TSD) processes can be achieved using an anisotropic deposition technique based on a standard Plasma Enhanced Atomic Layer Deposition (PEALD) coupled with directional plasma ion bombardment that induces a local modification of material properties [1].

Recent reports of TSD on 3D structures have two main objectives. The first objective is to deposit thin films only on sidewalls of 3D structures, for which several methods have been already reported, including pre-growth surface deactivation [2], post-growth in-situ plasma etching or ex-situ wet etching processes [1,3]. The second objective is to deposit thin films only on horizontal (top and bottom) surfaces of 3D structures, for which process routes have been scarcely reported and remain challenging [4,5]. R. A Ovanesyan et al. used a three-step ALD process composed of two conventional thermal steps, followed by a subsequent N2 plasma step in order to reduce carbon contamination in the top and bottom SiNx deposit, hence, densifying it. The obtained film was then wet etched to achieve the desired top and bottom topography [4].

In this work, we present an original approach leading to only top and bottom Ta2O5 densification, based on a standard two-step PEALD cycle, followed by a post-growth wet etching step with an effective selectivity of 10 to 1. As evidenced from SEM imaging, as-grown Ta2O5 thin films deposited on 3D trenches (aspect ratio 13:1) show approximately 70% conformity from bottom to top and middle to top, whereas post-growth wet etched structures do not exhibit any vertical coating while maintaining initial bottom to top conformity. We think that the methodology established here could be easily extended to most PEALD deposited materials.

[1] Faraz T. et al., ACS Appl. Mater. Interfaces 2018, 10, 15, 13158-13180

[2] Kim, W.-H et al, ACS Nano 2016, 10 (4), 4451−4458.

[3] Chaker A. et al., Appl. Phys. Lett. 114, 043101 (2019)

[4] Ovanesyan, R. A et al., Plasma. 2017, 29 (15), 6269–6278.

[5] ASM International Analyst and Investor Technology Seminar, Semicon West 2018

View Supplemental Document (pdf)
Session Abstract Book
(291KB, Jul 28, 2020)
Time Period WeA Sessions | Abstract Timeline | Topic AS Sessions | Time Periods | Topics | ALD2020 Schedule