ALD2020 Session AM-TuA: Spatial, Large Area and Powder ALD I & II

Tuesday, June 30, 2020 1:00 PM in Room Auditorium

Tuesday Afternoon

Session Abstract Book
(304KB, Jul 28, 2020)
Time Period TuA Sessions | Abstract Timeline | Topic AM Sessions | Time Periods | Topics | ALD2020 Schedule

Start Invited? Item
1:00 PM AM-TuA-1 Atomic Layer Deposition from Dissolved Precursors ― ‘solution ALD’ or sALD
Maïssa K. S. Barr (Friedrich-Alexander University of Erlangen-Nürnberg, Germany); Vanessa Koch (Friedrich-Alexander-University Erlangen-Nürnberg, Germany); Soheila Nadiri, Ivan Kundrata, Pascal Büttner, Ceyla Asker (Friedrich-Alexander University of Erlangen-Nürnberg, Germany); Elisabeth Reinhardt (Friedrich-Alexander-University Erlangen-Nürnberg, Germany); Dong-Hui Chen, Peter Weidler (Karlsruhe Institute of Technology, Germany); Doris Segets (University of Duisburg-Essen, Germany); Karol Fröhlich (Institute of Electrical Engineering, SAS, Slovakia); Helmut Baumgart (Old Dominion University); Engelbert Redel (Karlsruhe Institute of Technology, Germany); Julien Bachmann (Friedrich-Alexander-University Erlangen-Nürnberg, Germany)

An interdigitated geometry of the interface between semiconductors remains a Graal in the photovoltaic field. Nanocylindrical solar cells based on a coaxial p-n or p-i-n junction have been generated in a small number of materials systems only so far, mostly among the classical semiconductors. The generalization of this interface geometry to alternative semiconductors, which are expected to profit of it most, has been hampered by the lack of appropriate deposition methods suited to coating complex three-dimensional structures in a conformal manner. Atomic layer deposition (ALD) provides this capability, but is limited by the necessity to use gaseous precursors in vacuum conditions. Modern classes of semiconductors such as the hybrid perovskites are not accessible by ALD due to their ionic nature.

In this perspective, we have transferred the principles of ALD to precursors dissolved in liquid solvents. The ‘solution ALD’ (sALD) method can be implemented in a variety of microfluidic or slot-die processing devices. We have demonstrated that the principles of classical, gas-based ALD —self-limiting surface reactions— are reproduced in sALD. Furthermore, sALD allows the experimentalist to design new, advantageous, reactions of known semiconductors (oxides, heavier chalcogenides), or to deposit materials otherwise inaccessible to ALD altogether, such as polymers, ionic solids, and metal-organic frameworks. They may be obtained in highly pure, crystalline form at room temperature, either as planar films or as conformal coatings of porous substrates. Based on these advances, we have been able to make the first sALD-derived hybrid perovskite cells.

1:45 PM AM-TuA-4 An Atomic-Layer 3D Printer
Ivan Kundrata, Maksym Plakhotnyuk (ATLANT 3D Nanosystems, Denmark); Maïssa K. S. Barr, Sarah Tymek (Friedrich-Alexander University of Erlangen-Nürnberg, Germany); Karol Fröhlich (Institute of Electrical Engineering, SAS, Slovakia); Julien Bachmann (Friedrich-Alexander-University Erlangen-Nürnberg, Germany)

While 3D printing has been growing in the machining industry, with the adaptation of metal 3D printing allowing for creation of structures impossible to obtain by traditional machining, it has also been gaining traction in on the nanoscale. Despite this, only a limited number of materials have been 3D nanoprinted so far, such as electrospun nanofibers [1], and platinum and gold via electron-beam induced deposition[2]. Atomic layer deposition, uniquely among thin film deposition techniques, shares the layer by layer growth nature that universally underpins all 3D printing techniques. It also has a much broader material portfolio than other techniques.

In our contribution, we report on the design and results from a fully spatially constrained precursor delivery ALD reactor to achieve atomic-layer 3D printing. We present a full characterization of the TTIP + H2O ALD process on the experimental prototype. Continuous flow dynamics simulations predict the experimental constraints required to achieve flow behavior between the sample and a first implementation of the spatial ALD micronozzle. A spot size of 300-450 µm is predicted for optimal precursor flow rates. Prototype testing with the TTIP + H2O process results in a reproducible pattern generation with a line resolution of 300-350 µm at predicted flow rates. The growth per pass (GPP) depends on movement speed and precursor flow in a manner similar to classical ALD growth, and the GPP obtained in optimized conditions is similar to the GPC values reported in ALD. The temperature window, crystallinity, and film properties are compared with existing temporal and spatial ALD processes.

References:

1. Minhee Lee, Ho-Young Kim. Toward Nanoscale Three-Dimensional Printing: Nanowalls Built of Electrospun Nanofibers. Langmuir 2014 30 (5), 1210-1214. DOI: 10.1021/la404704z

2. Robert Winkler, Franz-Philipp Schmidt, Ulrich Haselmann, Jason D. Fowlkes, Brett B. Lewis, Gerald Kothleitner, Philip D. Rack, Harald Plank. Direct-Write 3D Nanoprinting of Plasmonic Structures. ACS Applied Materials & Interfaces 2017 9 (9), 8233-8240. DOI: 10.1021/acsami.6b13062

View Supplemental Document (pdf)
2:15 PM AM-TuA-6 Reducing Precursor Cost in PE-ALD SiO2 Processes
Geert Rampelberg, Véronique Cremers, Andreas Werbrouck, Jolien Dendooven, Christophe Detavernier (Ghent University, Belgium)

Historically, thin film growth of SiO2 has been an interesting challenge in the ALD community. Plasma-enhanced or ozone-based processes are most common since thermal ALD processes require some kind of catalytic activation.[1,2] The development of state-of-the-art Si precursors such as bis(diethylamino)silane (BDEAS) enabled the use of PE-ALD SiO2 coatings in microelectronics, e.g. for spacer defined double patterning. The cost of these novel precursors is however high compared to traditional silicon compounds used for chemical vapor deposition and plasma polymerization, such as (3-Aminopropyl)triethoxysilane (APTES), tetraethyl orthosilicate (TEOS) and hexamethyldisilazane (HMDS). Certainly when coating large area substrates such as foils, nano- and micron-sized particles and porous materials, precursor cost can become a major fraction of the total process cost, motivating research into lower-cost precursors for SiO2 ALD for these applications.

In this study, we investigated and compared growth characteristics of BDEAS and the three lower-cost Si precursors APTES, TEOS and HMDS during PE-ALD of SiO2 films. Growth rates vary strongly, from around 1 Å per cycle for BDEAS down to 0.2 Å per cycle for TEOS. The conformality of all processes was evaluated using our lateral macroscopic trench structures with equivalent aspect ratio (EAR) of 22.5:1.[3,4] Whereas SiO2 deposition from BDEAS and APTES shows excellent to medium conformality, the use of TEOS and HMDS as Si precursor does not allow to deposit SiO2 inside our test structures. The addition of Al2O3 or TiO2 subcycles to the SiO2 deposition process leads to a remarkable growth and conformality enhancement. In view of reducing the process cost, our study focusses on the addition of low-cost titanium tetraisopropoxide (TTIP) precursor for growth of Ti-doped SiO2 films. As a potential application example, SiO2 coatings were deposited at room temperature onto micron sized polymer particles. Improved flowability of the powder was observed for all applied SiO2 coatings.

1. Putkonen, M. et al., Thermal and plasma enhanced atomic layer deposition of SiO2 using commercial silicon precursors, Thin Solid Films 558, 93-98 (2014)

2. Ferguson, J. D. et al., ALD of SiO2 at Room Temperature Using TEOS and H2O with NH3 as the Catalyst, J. Electrochem. Soc. 151, G528-G535 (2004)

3. Dendooven, J. et al., Modeling the Conformality of Atomic Layer Deposition: The Effect of Sticking Probability. , J. Electrochem. Soc. 156, P63–P67 (2009)

4. V. Cremers et al., Monte Carlo simulations of Atomic Layer Deposition on 3D large surface area structures, J. Vac. Sci. Technol. A, 35, 01B115:1-01B115:6 (2017

View Supplemental Document (pdf)
3:00 PM Break
3:30 PM AM-TuA-11 Plasma Enhanced Spatial ALD of Metal Thin Films at Atmospheric Pressure
Bujamin Misimi (University of Wuppertal, Germany); Nils Boysen (Ruhr University Bochum, Germany); Tim Hasselmann, Detlef Theirich (University of Wuppertal, Germany); Anjana Devi (Ruhr University Bochum, Germany); Thomas Riedl (University of Wuppertal, Germany)

The demand for thin, highly conductive metal layers based on silver or copper has significantly increased, as these are required for various optoelectronic devices, such as solar cells and LEDs. Furthermore, thin conformal metal layers are suitable as interconnects in microelectronics. [1, 2] Plasma enhanced atomic layer deposition (PE-ALD) has been shown to be a suitable to grow thin metal layers at low temperature with the required properties. To overcome the limitations of classical vacuum-based PE-ALD, spatial atmospheric pressure plasma ALD (APP-ALD) has been introduced, and it paves the way towards high-throughput, low-cost manufacturing. [3, 4] As of yet, work on APP-ALD of metals is very limited, in part due to a lack of suitable metal precursors. In our recent work, we grown conductive Ag films by APP-ALD from a novel halogen-free Ag precursor 1,3 di-tert-butyl-imidazolin-2-ylidene silver(I) 1,1,1- trimethyl-N-(trimethylsilyl) silanaminide [(NHC)Ag(hmds)].[5] An atmospheric pressure dielectric barrier discharge with Ar/H2 as working gas has been used. In this contribution, we introduce the APP-ALD of copper thin films from a novel, albeit structurally similar Cu precursor 1,3-di-tert-butyl-imidazolin-2-ylidene copper(I)1,1,1-trimethyl-N-(trimethylsilyl) silan-aminide [(NHC)Cu(hmds)]. All films in this study were grown at a low substrate temperature of 100 °C. Using Rutherford backscattering spectrometry, we evidence a growth rate of about 1.8 x 1014 atoms/(cm² cycle) (corresponding to an equivalent growth per cycle of 0.21 Å/cycle). We achieve percolated Cu thin films with a sheet resistance of 3.2 Ohm/sq. For comparison the analogous Ag process yields a similar growth rate of about 2.1 x 1014 atoms/(cm² cycle) and percolated Ag films with a sheet resistance of 0.9 Ω/sq (resistivity: 3.2 x 10-6 Ωcm). We will provide a comparative study of Ag and Cu grown by APP-ALD and discuss the growth characteristics depending on process parameters like substrate temperature and substrate speed. First results of integration of these APP-ALD grown metals as electrodes in perovskite solar cells will be presented.

[1]. F. J. van den Bruele et al.,J. Vac. Sci. & Technol. A33, 01A131 (2015)

[2]. K. Zilberberg et al., J. Mater. Chem. A 4, 14481–14508 (2016)

[3]. P. Poodt et al., J. Vac. Sci. & Technol.A 30, 01A142 (2012)

[4] L. Hoffmann et al. ACS Appl. Mater. Interfaces 9, 4171 (2017)

[5]. N. Boysen et al., Angew. Chem. Int. Ed. 57(49) 16224-16227 (2018)

View Supplemental Document (pdf)
4:00 PM AM-TuA-13 Plasma Enhanced Spatial Atomic Layer Deposition of Silicon Nitride Using Di(isopropylamino)silane and N2 Plasma
Hisashi Higuchi (TEL Technology Center, America, LLC); David O’Meara (Tokyo Electron America Inc.); Steven Consiglio, Hiroyuki Suzuki, Cory Wajda, Gert Leusink (TEL Technology Center, America, LLC)

Silicon nitride (SiN) films have been widely used for various semiconductor applications such as oxidation masks, diffusion barriers, gate dielectrics, liners, and spacers[1]. In order to maintain the constant down-scaling of transistors, a large number of SiN atomic layer deposition (ALD) processes have been developed with excellent conformality and precise thickness controllability[2]. In addition, the plasma-enhanced (PE) ALD technique has been able to lower the deposition temperature without sacrificing film quality. However, the typical SiN PEALD using dichlorosilane (SiH2Cl2) and ammonia (NH3) plasma requires a relatively high deposition temperature (>350°C), which sometimes exceeds the tolerated thermal budget of some applications. Additionally, this process can be undesirable because of the detrimental effects caused by the chlorine in the precursor. Recently, SiN PEALD processes at even lower deposition temperature have been reported with halogen-free precursors such as BTBAS (bis(tert-butylamino)silane)[3], 3DMAS (tris(dimethylamino)silane)[4] and TSA (trisilylamine)[5]. In this study, DIPAS (di(isopropylamino)silane) was used for SiN PEALD in a high throughput spatial ALD chamber in contrast to the previous works which demonstrated processes in single-wafer-type chambers. SiN film deposition was confirmed at low deposition temperature (<350°C) and the film properties of the deposited film were evaluated.

An NT333™ chamber was used in a spatial ALD format, where the chamber volume is spatially separated by purge N2 gas curtain into multiple zones: precursor area, purge area, reactant area, plasma area, and the other purge area. A quartz susceptor with five wafer capacity rotates through these areas, enabling sequential ALD with high rotation speeds and throughput. The susceptor rotation speed controls process time. Film growth was observed at the deposition temperature from 100°C to 350°C with N2 plasma, while negligible film growth was obtained with NH3 plasma, as reported in previous works. It was explained by Ande et al. using first-principles calculation that the surface termination of SiNx by hydrogen-containing plasma inhibits Si precursor adsorption and results in a very low growth rate[6]. A growth per cycle (GPC) of 0.22 Å/cycle was obtained with N2 plasma at a deposition temperature of 100°C and decreased as the deposition temperature increased (Figure 1). The film contained about 33 at. % nitrogen from XPS depth profile measurements while the nitrogen concentration at 350°C was about 41 % (Figure 2). The film deposited at 350°C showed diluted HF etch rate of 2.7 nm/min (Figure 3).

View Supplemental Document (pdf)
4:15 PM AM-TuA-14 Realization and In-situ OES Characterization of Saturated 10-100 ms Precursor Pulses in a 300 mm CCP Chamber Employing de Laval Nozzle Ring Injector for Fast ALD
Jonas Sundqvist (BALD Engineering AB, Sweden); Abhishekkumar Thakur, Stephan Wege (Plasway Technologies GmbH, Germany); Mario Krug (Fraunhofer Institute for Ceramic Technologies and Systems IKTS, Germany)

ALD based self-aligned multiple patterning (SAxP) has been the key process to continued chip scaling. SAxP demands PEALD for low temperature and conformal deposition of spacers on photoresist features for the subsequent etch based pitch splitting. ALD is limited by low throughput that can be improved by raising the growth per cycle (GPC), using new ALD precursor, preforming batch ALD or fast Spatial ALD, shrinking the ALD cycle length, or omitting purge steps to attain the shortest possible ALD cycle. Today’s latest and highly productive platforms facilitate very fast wafer transport in and out of the ALD chambers. Current 300 mm ALD chambers for high volume manufacturing are mainly top-down or cross-flow single wafer chambers, vertical batch furnaces, or spatial ALD chambers.

We have developed a fast PEALD technology1, realizing individual precursor pulses saturating in the sub-100 ms range. The key feature of the technology is the highly uniform, radial injection of the precursors into the process chamber through several de Laval nozzles (patent-pending2). In order to in-situ study individual ALD pulses in the 10-100 ms range, we use a fast scanning (10 ms acquisition time per spectrum ranging from 200 nm to 840 nm) Optical Emission Spectrometer with a resolution in the range of 0.7 nm.

We present the results for PEALD of Al2O3 showing substrate surface saturation for 10 ms of TMA pulse (Fig. 1) and 100 ms of O2 plasma pulse (Fig. 2). All processes were carried out in a 300 mm, dual-frequency (2 MHz and 60 MHz) CCP reactor in the temperature range of 30 °C to 120 °C and at <100 mTorr process pressure. The in-situ, time-resolved OES study of O2 plasma pulse, indicating saturation of O* (3p5P—>3s5S) emission peak already at 50 ms pulse duration (Fig. 3, 4), suggested room for yet faster process.

Besides swift saturation, the process and the deposited films exhibited typical ALD characteristics with respect to linearity (Fig. 5), high quality with respect to film morphology (TEM, Fig. 5), low contamination (XPS, C < 1 atm%), and good conformality for applications involving structures with relaxed aspect ratios (SEM, Fig. 6). The simulation results for the gas-flow through the ring injector demonstrate highly uniform precursor distribution across the 300 mm wafer surface (Fig. 7).

References:

1AVS ALD/ALE 2019, Abstract Number: 658, Oral Presentation Number: AM1-WeM6, Session Title: Spatial ALD, Fast ALD, and Large-Area ALD

2Patent WO2017194059A1

Acknowledgment:

Thanks to Dr. Luka Kelhar, Researcher at the University of Ljubljana, Slovenia, for organizing the TEM measurements.

View Supplemental Document (pdf)
4:30 PM AM-TuA-15 Advanced Materials for the Next Generation: ALD a Scalable Manufacturing Process for Powders
Arrelaine Dameron, Staci Moulton, Jaime DuMont, Drew Lewis, Tim Procelli, Ryon Tracy (Forge Nano)

Control of surface phenomena by powder modification via atomic layer deposition (ALD) for a spectrum of technology applications has made its way to R&D literature. But commercial adoption of ALD powder modification has been perceived as slow and too expensive to consider as a realistic commercial process. Forge Nano has patented, constructed, and demonstrated a high throughput ALD powder manufacturing capability at commercial scale and is commercializing first markets with partners. The manufacturing capability for powder modification with ALD is unlocking new potential for lower-cost integration of ALD into products. We will discuss a cross-comparison of ALD manufacturing type to product application and scaling requirements. For the first time in history, a pathway for ALD-enhanced materials to be rapidly transitioned from lab-scale demonstration to commercial presentation is available for new product development. The scaleup process addresses the stepwise progression to validate engineering and materials requirements to meet the market price demands. We will demonstrate that ALD enabled materials are the state of the art. The manufacturing of consistent materials with ALD modification is a cost-competitive level and now possible. The future of material science and product development for operation at more demanding conditions is enabled by ALD for a variety of applications.

Session Abstract Book
(304KB, Jul 28, 2020)
Time Period TuA Sessions | Abstract Timeline | Topic AM Sessions | Time Periods | Topics | ALD2020 Schedule