ALD/ALE 2022 Session ALE2-TuA: Plasma and/or Anisotropic ALE II

Tuesday, June 28, 2022 3:30 PM in Room Baekeland

Tuesday Afternoon

Session Abstract Book
(291KB, May 7, 2022)
Time Period TuA Sessions | Abstract Timeline | Topic ALE Sessions | Time Periods | Topics | ALD/ALE 2022 Schedule

Start Invited? Item
3:30 PM Break & Exhibits
4:00 PM ALE2-TuA-11 Plasma Processes for Isotropic and Anisotropic Atomic Layer Etching
Adrie Mackus (Eindhoven University of Technology, Netherlands)
While plasma etching has been the cornerstone technology in semiconductor fabrication in the past decades, future technology nodes require material removal with atomic-level accuracy as provided by atomic layer etching (ALE). Plasmas conventionally allow for anisotropic etching with high etch rates because synergistic effects between ions and radicals accelerate the surface reactions. In anisotropic ALE, more accurate control is obtained by decoupling the ion and radical contributions. Radical-dominated plasmas can also be employed for isotropic ALE, an option that is largely unexplored compared to the recent focus on thermal chemistries. In this contribution, plasma processes for both isotropic and anisotropic ALE are discussed, focusing on the unique merits provided by plasmas when accurately tuning the exposure to specific plasma species.
For isotropic ALE, two different types of chemistries were explored: (1) surface fluorination by SF6 plasma exposure, followed by ligand-exchange reactions,1 (2) diketone etchant (e.g. Hhfac, Hacac) exposure, followed by a plasma clean step.2 The main merits of using plasmas for isotropic etching as compared to thermal chemistries were demonstrated for the fluorination / ligand-exchange process, namely the ability to etch at lower temperatures and with a higher etch per cycle. For the second process, in-situ Fourier transform infrared spectroscopy studies reveal that the self-limitation of the diketone etching reaction relies on the adsorption of diketone species in bonding configurations that do not contribute to etching. The ability of plasmas to effectively remove deactivating species and restore the original surface enables new ALE chemistries.
Although the ion energy is the most important parameter in anisotropic ALE, conventional radio-frequency biasing typically provides a broad distribution of ion energies. Ions with a well-defined energy are obtained in our work by employing tailored waveform biasing.3 This precise control of the ion energy allows for sputtering in between the thresholds of chemically-modified and bulk materials, thereby opening up ion energy windows for highly-synergetic or -selective etching.
1. Chittock et al., Appl. Phys. Lett. 117, 162107 (2020).
2. Mameli et al., ACS Applied Materials & Interfaces 10, 38588 (2018).
3. Faraz et al., J. Appl. Phys. 128, 213301 (2020).
4:30 PM ALE2-TuA-13 SF6 and Ar Plasma Based Atomic Layer Etching of Gallium Nitride (GaN)
Lamiae Hamraoui, Thomas Tillocher, Philippe Lefaucheux (GREMI CNRS/Université d'Orléans); Mohamed Boufnichel (STMicroelectronics Tours); Rémi Dussart (gremi CNRS/Université d'Orléans)

Gallium nitride (GaN) is a powerful and promising semiconductor that perfectly fills and enhances the function obtained with silicon in technology development and in the fabrication of next generation of high-power devices as for example, the normally-off High Electron Mobility Transistors (HEMTs). The development and optimization of a damage-free etching process is still a research topic. Therefore, the Atomic Layer Etching (ALE) process has become predominant for the development of GaN-based HEMT and the conservation of its electric characteristics since it provides more precise etching depth and is less damaging compared to continuous plasma etching.

Up to today, the largest part of the research on the GaN ALE process has been carried outusing chlorine-based chemistries for the modification step, considering that the GaCl3 etch by-product is volatile under ion bombardment 1,2. However, the fluorinated chemistry is less investigated as compared to the chlorinated chemistry for the ALE of GaN 3,4. This is primarily due to the non-volatility of GaFx etch by-products as the GaF3, which has a boiling temperature of 1000°C at atmospheric pressure 5. Among the few fluorine based GaN ALE research reports, K. Nakazawa et al 3 have performed a two-step ALE process that involves a CF4/O2/Ar plasma adsorption step and an Ar plasma with ion bombardment activation step. A separate study of thermal ALE of GaN using fluorine chemistry was carried out by another group where each cycle is initiated by an exposure to XeF2 gas, followed by an Ar purge step, and lastly an exposure to BCl3 gas 4.

In our work, the ALE of GaN process consists of a cyclic modification (fluorination) step using SF6 plasma and an Ar plasma removal step performed in an Inductively Coupled Plasma (ICP) reactor. In this paper we report on the results of energy scan, the synergy and the self-limiting regime of ALE process using fluorinated chemistry. The influence of different plasma parameters such as the ICP power and the pressure on the Etch Per Cycle (EPC) and the RMS surface roughness was also investigated using Atomic Force Microscopy.

The authors would like to thank the European Union (IPCEI standing for Important Project of Commun European Interest) and Région Centre Val de Loire for their support through the Nano2022 project. We also acknowledge CERTeM 2020 platform, which has provided the majority of the equipment.

  1. Ohba, T et al, Jpn. J. Appl. Phys. 56, 06HB06, 2017
  2. Le Roux, F et al, Microelectron. Eng. 8, 2020
  3. Nakazawa, K et al, DPS, 2019
  4. Johnson, N. R et al, Appl. Phys. Lett. 114, 243103, 2019
  5. Pearton, S. J et al, J. Appl. Phys. 86, 1–78, 1999
4:45 PM ALE2-TuA-14 SF6 Physisorption based Cryo-ALE of Silicon
Jack Nos, Gaëlle Antoun, Thomas Tillocher, Philippe Lefaucheux (GREMI CNRS/Université d'Orléans); Aurélie Girard, Christophe Cardinaud (IMN CNRS/Université de Nantes); Rémi Dussart (GREMI CNRS/Université d'Orléans)
Cryogenic Atomic Layer Etching (Cryo-ALE) using C4F8 as a precursor gas has been previously presented as an alternative method to achieve ALE of SiO2. In this process, C4F8 is injected in gas phase during the “chemical modification” step, in order to physisorb on a cooled SiO2 surface. The etching step is then achieved using an Ar plasma with a low energy ion bombardment. The temperature window of this process was between -120°C and -90°C 1,2. A self-limiting etching regime was obtained with a regular etch per cycle (EPC) through several tens of nanometers which demonstrates the process stability without any contamination of the reactor walls.
In this paper, we show the results using SF6 molecules as precursors for cryo-ALE of silicon.
In 1996, Royer et al. studied the chemisorption of sulfur and fluorine on Si during a simultaneous exposure to SF6 gas and Ne+ ion beam. In this work, they showed by XPS measurements that the fluorine quantity on the Si surface tends to increase as the temperature decreases, for a process window between 20°C and 130°C 3. Therefore, a cryo-ALE study based on SF6 physisorption was carried out to extend the use of this alternative approach to other materials.
The work presented in this paper was carried out using a cryogenic ICP reactor equipped with in-situ diagnostics. Mass spectrometry measurements enabled to characterize the SF6 physisorption and its surface residence time at different temperatures. Spectroscopic ellipsometry was used to monitor the etching rate and to characterize the sample surface at the nanoscale during the three process steps: SF6 physisorption, pumping and Ar plasma etching. Tests were performed on SiO2, Si3N4 and p-Si coupons glued on SiO2 6” carrier wafers.
SF6 physisorption experiments will first be presented notably to determine the optimal temperature and purging time for the process. Subsequently, cryo-ALE test results on Si, SiO2 and Si3N4 will be shown. These results will finally be compared to the ones obtained previously using C4F8 physisorption.
This research project is supported by the CERTeM 2020 platform, which provides most of the equipment and funded by the European Union (FEDER fund) as well as the French National Research Agency (ANR PSICRYO fund).

1. Antoun et al., Appl. Phys. Lett.115, 153109, 2019
2. Antoun et al., Sci. Rep. 10, 2021
3. Royer et al., J. Vac. Sci. Technol. A14, 234–239, 1996
5:00 PM ALE2-TuA-15 Precision and Repeatability of ALE Process in AlGaN/GaN Layer by in-Situ Etch Depth Monitoring
Sungjin Cho (Oxford Instruments Plasma Technology); Matthew Loveday (1Oxford Instruments Plasma Technology); Andrew Newton (Oxford Instruments Plasma Technology); David Cornwell, Marcello Binetti, Thomas Zettler (Laytec AG)

GaN-based hetero structured high-electron-mobility transistors (HEMTs) are key components for the next generation of RF (radio frequency) and high power applications due to their high breakdown field, high-temperature operation, and their strong spontaneous and piezoelectric polarization-induced 2D electron gas (2DEG) of high carrier density and mobility. For safety considerations, normally-off operation HEMTs are vital for power applications. Due to their high gate voltage sweep and low leakage current, gate recessed metal insulator semiconductor (MIS)-HEMTs have been intensively investigated for normally-off operation. But their manufacturing has proven so far too challenging. Among the most significant challenges is sub-nanometer etch monitoring and controlling, to leave a thin (typically under 5 nm) layer of AlGaN, obtaining repeatable device performance.

In this work, we have realized of precision and repeatability of 5nm and 2nm AlGaN left on GaN by in-situ etch depth monitoring. we demonstrate how complementing a relatively slow, low damage atomic layer etch process with monitoring of the remaining AlGaN thickness allows excellent etch depth control of the AlGaN. The AlGaN layer thickness is determined by an AlGaN/GaN-optimized LayTec TRItonTM in-situ UV reflectance metrology tool with ±0.5nm. The accuracy and repeatability of the 2 and 5 nm target depths of AlGaN have been qualified by TEM. All ALE processes were controlled by monitoring the AlGaN depth through the UV reflectance interferometry traces. Furthermore, the UV reflectance results imply that GaN cap and neighbouring AlGaN etch at a varying rate, lower than the reproducible etch rate of bulk AlGaN. The UV reflectance traces clearly resolve the etch steps, which depends on the details of the cyclic ALE process. We have verified the good correlation between AlGaN thicknesses determined by TEM, and in-situ by real-time UV reflectance.

View Supplemental Document (pdf)
5:15 PM ALE2-TuA-16 Investigation of Self-Limiting Sputtering of Fluorinated Al2O3 and HfO2: Where's the Limit?
Nicholas Chittock, Willem Hoek, Shashank Balasubramanyam (Eindhoven University of Technology, The Netherlands); Javier Escandon Lopez, Koen Buskes (ProDrive Technologies); Harm Knoops (Oxford Instruments Plasma Technology); Erwin Kessels, Adrie Mackus (Eindhoven University of Technology, The Netherlands)

ALE is becoming increasingly popular due to the high level of etch control and low damage etching it can provide. The use of self-limiting half-cycles for ALE is well-documented, however why and how half-reactions self-limit is less well understood. Such fundamental insight into sputter thresholds and yields is vital information that is missing from the literature for many ALE chemistries. In this work we examine (i) the self-limiting nature of the removal step of anisotropic ALE, (ii) the required energy window and (iii) how the etch rate changes as the material is sputtered.

The sputtering of fluorinated Al2O3 and HfO2 is investigated, where the fluorination is performed by a SF6 plasma and removal by directional Ar ions. Exploration of surface fluorination goes beyond the widely investigated case of Si ALE by chlorination,1 providing insight into possible alternative ALE chemistries. To accurately evaluate the minimum energies required for material removal, we utilize tailored waveform (TW) biasing,2 which allows for improved ion energy control over conventional radio-frequency biasing. The sputter thresholds for Al2O3 and HfO2 were determined to be 59 ± 5 eV and 81 ± 5 eV, respectively. Following modification with an F-based plasma both films were shown to have a decreased sputter threshold, 23 ± 4 eV for Al2O3 and 37 ± 4 eV for HfO2, which opens an ALE window. Additionally, the sputter yield of the modified films is increased as compared to bulk material aiding in removal of the modified layer.

Another important consideration for ALE processing is ensuring that the modified layer can be fully removed. By performing a SF6 plasma exposure followed by multiple Ar plasma removal steps, we investigated how the layer is removed as a function of Ar plasma exposure time. Initially the etch rate of the modified layer is high, but as the modified layer is removed, the etch rate reduces back to that of bulk material. In our case this suggests the presence of an intermixed AlFxOy layer between the surface AlF3 and bulk Al2O3.

Improved understanding of material removal, both in terms of ion energy windows and rate, will facilitate future development of anisotropic ALE processes, and thereby the integration into advanced IC fabrication.

  1. Berry, I.L., et al. J. Vac. Sci. Technol. A 36, 01B105 (2018)

  2. Faraz, T., et al. J. Appl. Phys. 128, 213301 (2020)

View Supplemental Document (pdf)
5:30 PM ALE2-TuA-17 Isotropic Plasma ALE of Al2O3 using F-based Plasma and AlMe3: Key Parameters, Upscaling and Applications
Yi Shu (Oxford Instruments Plasma Technology); Nick Chittock, Erwin Kessels, Adrie Mackus (Eindhoven University of Technology); Aileen O’Mahony, Harm Knoops (Oxford Instruments Plasma Technology)

Isotropic ALE processes are being considered for a range of applications such as controlled thinning of material, smoothing of surfaces, and removal of contaminated or damage surface layers. Although most reported isotropic ALE processes use thermal processes, also plasma-based ones are of recent interest, due to a promise of easier to handle precursors and expanded process parameter space. In our recent work, isotropic plasma ALE of Al2O3 was performed using SF6 plasma and AlMe3, which was demonstrated on a small-scale homebuilt reactor.1 The AlMe3 dose in the process needs to be sufficient to cause net etching since otherwise AlF3 deposition is observed.2 The required AlMe3 dose for net etching will furthermore depend on the degree of fluorination of the various surfaces in the reactor. Therefore, a long and extensive fluorination by the SF6 plasma could cause challenges in achieving uniform etching with a given AlMe3 dose.

In this contribution we will discuss the transfer of this ALE process to a commercial ALD tool (Oxford Instruments FlexAL) which would also allow process sequences combining ALD and ALE. Depending on the used substrate temperature, plasma dose and precursor dose, either deposition or etching was found to occurred. After obtaining understanding of these parameters, a decent ALE uniformity was achieved (~2% 1-sigma thickness non-uniformity over 150 mm wafer area). Possible applications (e.g., in quantum and power electronics) and parameters to keep in mind for process transfer will be discussed in this contribution.

  1. Chittock et al.,Appl. Phys. Lett. 117, 162107 (2020)
  2. Vos et al., Appl. Phys. Lett. 111, 113105 (2017)
View Supplemental Document (pdf)
Session Abstract Book
(291KB, May 7, 2022)
Time Period TuA Sessions | Abstract Timeline | Topic ALE Sessions | Time Periods | Topics | ALD/ALE 2022 Schedule