AVS1999 Thursday Afternoon

Sessions | Time Periods | Topics | Schedule Overview

Hover over a paper or session to view details. Click a Session in the first column to view session papers.
Session Thursday, October 28, 1999
2:00 PM 3:00 PM 4:00 PM
AS-ThA
Conformation and Orientation Effects in the XPS Spectra of Thin Polymer Films
Correlative XPS and FTIR Imaging Analysis of Polymer Blends
Beam Induced Damage Effects in XPS Studies of Thin Organic Films
Surface Analysis of Nitrogen Plasma-Treated Poly (ethylene-2,6-naphthalate) Films using XPS and Gas Phase Derivatization Reactions
Quantitative Analysis of Functional Groups with TOF-SIMS
Determination of Helical Conformation Effects in PTFE NEXAFS Spectra with FEFF8 Calculations
Monitoring the Kinetics of Migration/ Absorption/ Desorption/ and Cross-linking in a Curing Silicone Coating, using the QCM-D Technique
Angle Resolved XPS Study of Random Fluoro-methacrylate Copolymers
Surface Analysis Characterization of Titanium/Sol-Gel/Polyimide Adhesive Systems
EM1-ThA
Surface Chemical Changes during Cu Chemical Mechanical Polishing
Effect of Thin Film Properties on Copper Removal in CMP
Damascene Patterning of Advanced Interconnect Structures
Tungsten CMP Process Post Tungsten Etch Back Process to Improve the Reliability for Sub-Micron Device Technology
Etching and Cleaning of Silicon Wafers using HF Vapor Process in the Monolayer Etching Regime
Chlorosilane Treatment of SiO2-Covered Si Surfaces for Modification of their Chemical Reactivity in Si CVD
The Passivation of Si(100)-2x1 with Alcohols
EM2-ThA
Silicon Carbide: Material of the 21st Century?
Characterization of PECVD SiC and its Application in Advanced Reticle Technology-SCALPEL Membrane
Contact Properties of Cerium Ultrathin Film on SiC
A Thermodynamic Analysis of Silicide and Carbide Formation and Stability of W, Co, V, and Zr Thin Films on Single-Crystal SiC
Deposition of Yttrium Oxide by Yttrium Sputter/Thermal Oxidation and Reactive Sputtering for Advanced High k Gate Dielectrics
Bi4Ti3O12 Ferroelectric Thin Films Deposited on Silicon by Pulse Injection Metal-Organic Chemical Vapor Deposition
Film-Formation Mechanisms and Step Coverage of (Ba,Sr)TiO3 Films Grown by MOCVD
Anhydrous Zirconium (IV) Nitrate as a CVD Precursor for ZrO2
A New Approach for the Fabrication of Device-Quality Ge/GeO2/SiO2 Interfaces Using Low Temperature Remote Plasma Processing
MI+
Cryogenic Magnetic Force Microscopy Instrumentation
Modified Tips for High Resolution In-plane Magnetic Force Microscopy
Progress Toward Achieving Single-Spin Force Detection
Magnetic Imaging by Spin-polarized Scanning Tunneling Microscopy
Spin-Polarized Scanning Tunneling Spectroscopy: Magnetic Domain Imaging and Beyond
Scanning-aperture Photo-emission Microscope for Magnetic Imaging
Magnetic and Chemical Microanalysis Using SEMPA and SAM
Low Temperature Magnetic Domain Imaging with Spin Polarized Low Energy Electron Microscopy
Ballistic Electron Magnetic Microscopy: Imaging Magnetic Domains with Nanometer Resolution
MM+
Quadrupole Mass Spectrometry using MEMS
Miniaturizing an Ultra-High Vacuum Orbitron Pump
Scaling and Microfabricating a Low-Pressure Inductively Coupled Plasma Source
Design and Fabrication of an Electromagnetically Driven Microvalve for Micro Total Analysis Systems
MEMS Micro-Valve for Space Applications
Compact Fiber-Optic Pressure Sensors Using Microfabricated Sensing Membranes
NT+
Opportunities and Challenges for Nanotubes in Future Integrated Circuits
Simulations of Plasticity and Kink Catalyzed Functionalization of C and BN Nanotubes
Nanoindentation and Nanotribology with Carbon Nanotubes
Quantum Chemistry Study of Carbon Nanotube Fluorination
Gear-like Rolling Motion of Carbon Nanotubes on HOPG
Selectivity and Diffusion of Binary Fluids in Carbon Nanotubes
Improved Tungsten Disulfide Nanotubes as Tips for Scanning Probe Microscopy
PS1-ThA
High Fidelity Pattern Transfer
High Volume Self Aligned Contact Etch for SRAM
High Density Plasma Oxide Etching of SAC (Self-Aligned Contact) and 0.25 micron HARC (High Aspect Ratio Contact) Structures: Process and Repeatability Results
The Angular Dependence of SiO2, Si3N4, and Poly-Silicon Etching Rates in Inductively Coupled Fluorocarbon Plasmas
Process Optimization of Plasma Polymerized Resists for Advanced Lithography Applications
DUV Resist Degradation and Surface Roughening under Plasma Exposure
Transfer Etching of Bilayer Resists in Oxygen-based Plasmas
Integration of Metal Masking and Etching for Deep Submicron Patterning
Manufacturable Aluminum RIE Processes for 150 nm and Beyond
PS2-ThA
Power Modulated, Inductively-Coupled Plasmas
Method for Ion Energy and Ion Energy Distribution Functions Control at the Substrate during Plasma Processing
Modulation Frequency Effects on Metal Etching Processes Using Pulsed-Power Plasma of Cl2/BCl3 Admixture
Characterization of Process-Induced Charging Damage in Scaled-Down Devices and Reliability Improvement using Time-Modulated Plasma
Reduction and/or Elimination of Undesirable Topographic Differential Charging Effects in Semiconductor Processing by using Simultaneous Modulation of Source and Wafer RF
Time-Resolved Optical Measurements of a Pulsed Inductively Coupled Plasma
Substrate and Plasma Heating within High Frequency Bi-polar Pulsed-DC Magnetron Sputtering Applications
SS1+
Dislocation Structures of Submonolayer Films near the Commensurate-Incommensurate Phase Transition: Ag on Pt(111)
Non Capillarity Driven Grain Growth in a Strained Cu Ultrathin Film
Exchange Processes in Metal on Metal Growth Studied with High-resolution STM
Self-diffusion on Pt(110)-1x2: Ab-initio Barriers vs. Experiment1
Novel Temperature Dependence of the Morphology of Deposited Multilayer Ag/Ag(100) Films
Edge Diffusion During Growth: Kink Ehrlich-Schwoebel Effect and Resulting Instabilities1
Atom-by-Atom Growth: Bonding and Rebonding on Metal Surfaces
Diffusion of Lead on the Au(111) Surface Studied by Scanning Tunneling Microscopy and Embedded-Atom Method Molecular Dynamics
Low Energy Electron Microscope Investigation of Pb Overlayers on Cu(100)
SS2-ThA
Dissociative Adsorption of H2 on Si(001): Reaction Channels and Structure Sensitivity
Adsorption of Molecular Hydrogen on the Si(100)-2x1 Surface
Molecular Beam Study of the Adsorption and Desorption of Hydrogen Sulfide on Au(100)
Decomposition of Nickelocene on Single Crystal Surfaces
New Opportunities in Surface Studies using High Brilliance Synchrotron Radiation
The Surface Chemistry of Iron Pentacarbonyl on Palladium (111)
Adsorption Driven Displacement of N2 from Pt(111)
The Vibrational Spectra of Adsorbed Alkoxies on Cu(100) and W(110): Experimental Data and ab initio Calculations
Probing the Metal Sites of a V-oxide/Pd(111) "Inverse Catalyst": Adsorption of CO
SS3+
Thia(Ethylene Oxide) Alkanes: Tuning the Structure of a Supported Biomimetic Membrane via Spacer Length and Packing Density
Quantitative Analyses of Biological Interactions using Surface-Biofunctionalized Surface Plasmon Resonance Devices
Adsorption and Reactions in Enzymes and on Surfaces: Similarities And Differences
The Role of Hydrogen Bonding in Chemisorbed Aminoacids
TF-ThA
Working Smarter with Microanalytical Tools
Near Surface Chemical Dependence of Electronic States at Al-Doped TiO2(110)Ultrathin Films
Microstructure and EL Properties of the ZnS:Mn Luminescence Materials with Co-dopants
Structural Determination of Wear Debris Generated from Sliding Wear Tests on Ceramic Coatings Using Raman Microscopy
Effect of Rapid Thermal Annealing Temperature on the Formation of CoSi Studied by X-ray Photoelectron Spectroscopy and Micro Raman Spectroscopy
Optical Metrology for Process Development and Control of Universal Anti-Reflective Layers
Temperature Dependence of Structure and Electrical Properties of Germanium-Antimony-Tellurium Thin Films
Sessions | Time Periods | Topics | Schedule Overview