AVS2007 Session NM-WeM: Nanomanufacturing for Information Technologies

Wednesday, October 17, 2007 8:00 AM in Room 615

Wednesday Morning

Time Period WeM Sessions | Abstract Timeline | Topic NM Sessions | Time Periods | Topics | AVS2007 Schedule

Start Invited? Item
8:00 AM NM-WeM-1 Emerging Materials for Nanomanufacturing
D. Herr (Semiconductor Research Corporation)
The emerging nanomaterials era offers novel tool sets that exhibit the potential for addressing the ITRS’s concurrent vision for enhancing functional density and driving new waves of market opportunities. Though significant materials challenges remain, new generations of smart patterning materials and assembly methods likely will enable the continued scaling of extreme CMOS, the integration of heterogeneous nanomaterials onto silicon platforms, and functional diversification. However, it remains to be seen whether potential material solutions are identified and matured in time to impact key insertion windows. One key challenge is the extensibility of optical patterning. At the November 1992 Semiconductor Technology Workshop, the demise of optical patterning was projected to occur in 2001, after the 180 nm technology node. This corresponded to nine years or three technology nodes, n+3, out from the then current 500 nm technology node, n. The 1994 and 1997 National Technology Roadmaps for Semiconductors (NTRS) conveyed similar messages that optical lithography would end after the 130 nm and 100 nm generations, respectively, or n+3 nodes out from the current technology nodes. The 1999 and 2003 International Technology Roadmaps for Semiconductors (ITRS) also predicted lithography’s end n+3 technology nodes out from the current 180 nm and 90 nm nodes, respectively. The 2003 and 2006 ITRS potential solutions roadmaps for exposure tool technologies suggested that optical lithography would not be viable beyond the 32 nm and 22 nm nodes, respectively. Only the 2001 update of the ITRS conveyed a more near term, n+2, transition to a non-optical lithography technology, after the 65 nm node. Over the last forty years, considerable attention was focused on the exposure tool and mask infrastructure. Cumulative investments in developing exposure tool and mask related technologies are on the order of $10B and $1B, respectively. During this same period, relatively modest investments were made in the development of imaging materials, such as photo resists. However, line edge roughness (LER) and line width roughness (LWR) increasingly challenge our ability to achieve uniform electrical properties in the deep nanometer transistor domain. Additionally, the discussion about the interdependence between LER and dopant nano-roughness and their impact on device properties is just beginning to happen. This talk will consider the limitations of current families of lithographic materials and suggest emerging patterning materials that may satisfy projected nanofabrication requirements, including LER, long range dimensional control, resolution, and functionality. The materials science needed to develop these new generations of robust imaging materials for future information processing technologies represents a relatively unexplored and untapped frontier. Today’s convergence difficult nanofabrication challenges, emerging market drivers, and recent breakthroughs in materials technology offers a rare opportunity for chemists, chemical engineers, materials scientists, and others to develop breakthrough material and process insertion options will impact future nanofabrication technology. This research area exhibits the potential for keeping the demise of optical patterning n+3 generations away from current technology, for nodes to come.
9:00 AM NM-WeM-4 Formation of Parallel- and Latticed-Nanostructures by Surface-Patterning Technique
H.J. Kim, Y.H. Roh, B. Hong (Sungkyunkwan University, Korea)
Nanowires, semiconducting nanowire, carbon nanotube (CNT) and deoxyribonucleic acid (DNA), have been extensively investigated to obtain highly ordered electronic components for nanocircutitry and/or nanodevices. However, there are difficulties to apply them to nanodevices because of and aligning them with uniform interval on specific location and high contact resistance between metal electrodes and nanowire. We report a new method to carefully control the interval of DNA-templated gold nanowires (AuNWs) using surface-patterning techniques. In this technique, a process to form parallel- and latticed-nanostructures involves three steps: (1) nano-road was generated on oxide surface, chemically treated with (aminopropyl)triethoxysilane (APS) which has a NH3+ terminal group, patterned by electron beam lithography (2) ?-DNA molecules were stretched and aligned on chemical nano-road by tilting techniques. (3) AuNWs were formed by the electrostatic interaction between DNA and gold nanoparticles. By the combination of a tilting technique and surface-patterning technique, we could selectively align ?-DNA molecules and AuNWs by chemical nano-roads of 500 nm interval on Si substrate. We used atomic force microscopy (AFM) to analyze the configuration of AuNWs.
9:20 AM NM-WeM-5 The Challenges and Rewards of Building a Better Biosensor System: The NRL cBASS®
L.J. Whitman (Naval Research Laboratory)
On December 5, 1995 David Baselt, at the time a postdoctoral researcher at NRL working on a cantilever-based biosensor using magnetic particle labels, wrote in his lab notebook, "Did some reading on magnetoresistive sensors. It may be possible to use these rather than piezolevers to detect magnetic particles." Over the past dozen years, a dynamic team of researchers at NRL has developed this idea into the compact Bead Array Sensor System (cBASS®), a prototype biosensor system that integrates a suite of patented and patent-pending technologies for magnetic labeling and biomolecular detection, biomolecular assay methods, surface chemistry, and microfluidics.1-9 The current system is capable of multiplexed detection of biomolecules at attomolar concentrations in complex matrices in <20 min with little or no sample preparation. The technology has been licensed for a variety of applications, including food and water testing, environmental analysis, veterinary diagnostics, and biodefense. I will describe the development history of this project, the challenges of moving an idea from concept to advanced development within a government laboratory, and some of the lessons learned along the way.


1Baselt et al., Biosens. Bioelectron. 13, 731 (1998).
2U.S. Patent 5,981,297.
3Edelstein et al., Biosens. Bioelectron. 14, 805 (2000).
4Tamanaha et al., J. Micromech. Microeng. 12, N7 (2002).
5Sheehan et al., Biosens. Bioelectron. 18, 1455 (2003)
6Rife et al., Sensors Actuat. A 107, 209 (2003).
7Sheehan and Whitman, Nano Lett. 5, 803 (2005).
8Stine et al., Langmuir 23, 4400 (2007).
9Mulvaney et al., Biosens. Bioelectron., in press.

10:40 AM NM-WeM-9 Patterned Medium Substrates for Magnetic Recording Fabricated Using Ion Beam Proximity Lithography
V. Parekh, A. Ruiz, Ch. E, P. Ruchhoeft, D. Litvinov (University of Houston)
We describe the fabrication of patterned medium substrates consisting of 50nm diameter Co/Pd multilayer pillars in a densely packed array with 100nm pitch using ion beam proximity lithography (IBPL) to pattern a resist followed by argon ion milling to transfer the pattern into the magnetic stack. These pattern medium samples serve as test structures to develop a fundamental understanding of the switching behavior of isolated magnetic islands and as a test-bed for developing next-generation magnetic recording media. In our fabrication approach, substrates are first coated with a Ta buffer layer, followed by deposition of alternating Co (0.3nm) and Pd (0.7nm) layers (repeated 10 times). Hydrogen silsesquioxane, a negative tone, ion sensitive resist, is deposited onto the multilayer structure and patterned using IBPL, in which an energetic helium ion beam irradiates a stencil mask, placed in front of the resist-coated sample, and ions passing through the stencil openings damage the resist and copy, in a single exposure, the entire mask pattern. After developing the resist, the HSQ pillars are transferred into the multilayers by argon ion milling. In developing this process, we have concentrated on the uniformity of the lithographically defined bits to improve the switching field distribution of the magnetic medium. To this end, we have developed stencil masks fabricated from 250nm thick, 1cm2 area, free standing silicon nitride membranes. The blank membranes are coated with palladium, silicon dioxide and resist. Electron beam lithography is used to define 100nm circular openings on a 200nm pitch in the resist, which are then transferred into the membrane using a series of reactive ion etching and ion milling steps. A conformal gold coating allows for further reduction of the mask features without significantly increasing the feature size variation. Measurements using scanning electron microscopy reveal a 3nm standard deviation in the sizes of the mask openings over a 1mm2 area. The standard deviation of the island structures that are formed using this mask have a similar standard deviation in size (4.5nm), indicating that our printing process is primarily limited by our ability to fabricate masks. A six-fold increase in the coercivity of the multilayer samples (from 900Oe for continuous samples to 6kOe for the patterned samples) and a switching field distribution of ~15% in the patterned sample was measured using magneto-optical kerr effect.
11:00 AM NM-WeM-10 Fabrication of Large-area Magnetic Ring Arrays using Ion Beam Proximity Lithography
A. Ruiz, V. Parekh, J. Rantschler, P. Ruchhoeft, D. Litvinov (University of Houston)
Arrays of 500nm/300nm outer/inner diameter permalloy rings on a 700nm pitch were fabricated to study transitions between the micromagnetic configurations within these structures. The existence of these states, which are both very stable and confine the magnetic flux within the material, is the basis for next-generation, high-density magnetic memory devices. To form these rings, oxidized silicon substrates were first coated with a 5nm thick Ta layer, a 10nm permalloy (Ni80Fe20) layer deposited in a magnetic field to induce anisotropy in-plane, and a 5nm thick Ta capping layer. Circular openings were defined in a 200nm thick resist layer, deposited on the magnetic stack, using ion beam proximity lithography (IBP) and a conformal coating of SiO2 was applied to the surface by reactive sputter deposition. A directional reactive ion etch removed the oxide coating at the base of the opening and on top of the resist but preserved the oxide wall coating. After removing the resist in an oxygen ashing step, a ring-shaped hard mask remained that was transferred into the underlying permalloy using argon ion milling. Our fabrication approach has two distinct advantages over direct-write processes (i.e., electron beam): the patterns can be formed with much higher throughput using the parallel IBP approach (the entire mask pattern was replicated with a single exposure lasting less than 20 sec.) and the pattern of dots is significantly easier to control than the more complex ring structure. Hysteresis loops were measured using a vibrating sample magnetometer and show evidence of the switching sequence observed in micromagnetic simulations of these structures using the OOMMF code. However, the size and shape variation in the patterning process mask used for printing the dots must first be reduced to better understand the switching behavior. The results do suggest that the field required for onion-to-vortex transition and field required for vortex-to-onion transition to be 85Oe and 330Oe, respectively. We are currently reducing the size variation in the rings by fabricating improved IBP masks with more uniform openings to achieve smaller size distributions, which is expected to substantially reduce the switching field distribution. Currently we have fabricated large area masks that contain patterns with a standard deviation in size of less than 3nm.
11:20 AM NM-WeM-11 Nano-fabrication of Patterned Media
T.-W. Wu, M. Best, D. Kercher, E. Dobisz, Z.Z. Bandic, X.C. Guo, M. Mate, T. Karis, H. Yang, T. Albrecht (Hitachi Global Storage Technologies)
The outlook of magnetic storage technology predicts that, with current 40% growth rate, the recording areal density will hit ~700 Gbits/in2 in 2011. However, the magnetic recording physics also predicts that perpendicular magnetic recording (PMR) media will hit the thermal instability limit as the grain size of the magnetic coating scaled down below ~5nm in diameter. Because patterned media (PM) leverages the geometric decoupling magnetic exchange, a magnetic material even with ultra-small (e.g. d<5nm) but strong magnetically coupled grains can still be utilized to constitute the required recording bit (d=10~15nm) and avoid the thermal instability. Furthermore, because of its geometrically defined bit border, PM can achieve both higher track and linear densities than does the continuous media and hence boost the areal density. As a disruptive magnetic recording technology, PM is viewed as one of the most promising routes to extending magnetic data recording to densities of 1 Tbit/in2 and beyond. The fabrication of PM disk starts with the imprint master mold creation followed by pattern replication by nano-imprinting, pattern transfer by reactive ion etch and finished with blank deposition of a magnetic coating. The key challenges in the PM substrate fabrication are how to create those nano-scaled features (e.g. pillars with 20nm in diameter) with acceptable fidelity? How to create them with an incredibly high density (e.g. a square lattice with less than 40nm in period) in a very large area (e.g. ~2 square inches) and also within a reasonable time frame? How to inspect them with a reasonable statistics basis? In addition, those features need to be arranged in a circular array and have a very stringent long range order as well. Although the physical feasibility at each critical stage has been demonstrated to a degree in the recent years, to ensure a manufacturing feasibility for the production of patterned disk substrates, the process robustness and reliability, parts longevity, high throughput tooling and low cost operation, etc. are still far from completion and remain as immense challenges. In order to achieve the goal of PM hard disk drive (HDD) production in 2011 time frame, many scientific innovations and technology advances, such as the r-θ ebeam machine, guided self-assembly patterning, double-side high throughput imprinting and RIE, etc. are critically needed.
12:00 PM NM-WeM-13 Direct Integration of Carbon Nanostructure Family into Bimetal Micro-tubular Scroll
J. Choi, Y. Song (Wayne State University)
Carbon nanostructures including carbon graphene patches, onions, fibers and nanotubes were directly grown on 120 nm thick freestanding Ti (100 nm)/Ni (20 nm) bimetal structures. During the direct growth of carbon nanostructures, the bimetal layers are scrolled. The interplay between stress driven by the scrolled bimetal and anisotropic carbon nanostructure growth increases the number of turns and induces the transition of carbon nanostructure growth behavior from the lateral to the vertical growth at the growth temperature of 375 oC.
Time Period WeM Sessions | Abstract Timeline | Topic NM Sessions | Time Periods | Topics | AVS2007 Schedule