AVS2007 Session PS-ThP: Plasma Science and Technology Poster Session

Thursday, October 18, 2007 5:30 PM in Room 4C

Thursday Afternoon

Time Period ThP Sessions | Topic PS Sessions | Time Periods | Topics | AVS2007 Schedule

PS-ThP-1 An In-situ Diagnostic to Detect Charging during Plasma Etching
E.R. Ritz, D.N. Ruzic, R. Ramasamy (University of Illinois at Urbana-Champaign)
In plasma etching processes, especially those with high aspect ratios, it is known that defects can occur such as trenching, bowing, and twisting. These defects are particularly noteworthy in the manufacture of DRAM deep-trench capacitors. In order to investigate the role of charging on these phenomena an in-situ diagnostic was fabricated using photolithographic and deposition techniques. The device consists of a base layer of titanium with alternating layers of SiO2 and titanium. During the construction of the device, vias are integrated into the layout, extending all the way from the top surface to the substrate. The silicon dioxide layers act as insulators to create discrete measurement layers, provided by the titanium layers. The titanium layers are attached to voltage measurement leads and can then be used to measure the build up of sidewall charging at different heights along the via when exposed to a plasma. To determine the effect of geometry, if any, on charging, several aspect ratios were used by maintaining the same device thickness but varying the diameter of the vias. The entire stack is less than one micron thick, with vias ranging in diameter from 1 micron to only 20 nanometers, thereby producing aspect ratios of 1:1 to 30:1. Results from the diagnostic will be shown for various etching recipes.
PS-ThP-2 The Effect of Radio-Frequency Bias on Electron Density in an Inductively Coupled Plasma Reactor, Measured by a Wave Cutoff Probe
M.A. Sobolewski (National Institute of Standards and Technology); J.H. Kim (Korea Research Institute of Standards and Science)
Inductively coupled plasma reactors allow greater, more independent control of ion energy and ion flux than is possible in traditional capacitively coupled plasma reactors. Nevertheless, even in inductively coupled reactors, it is unlikely that perfectly independent control can be achieved. The application of radio-frequency (rf) substrate bias, which is intended to only affect ion energies, may also produce changes in the plasma electron and ion densities and the total ion flux. Such changes are generally believed to be small, but it is not clear how small. Modeling studies of inductively coupled plasmas usually do not consider these bias effects. Experimental measurements of the effect of bias on electron density have been made, using Langmuir probes or microwave interferometry, but the data reported so far are quite limited and often appear to be contradictory. The accuracy of some results may be in doubt, since measured changes are comparable in size to systematic errors present in the measurement techniques. To provide a better characterization and understanding of the effect of bias power on electron density, we performed a detailed study in Ar, CF4, and Ar/CF4 plasmas. We measured the electron density with a wave cutoff probe, which avoids problems with deposition and rf compensation that may affect the accuracy of Langmuir probes. The effect of rf bias on electron density was measured as a function of source power, position, pressure, bias frequency, bias amplitude, and time. At selected experimental conditions, results from the cutoff probe were compared to Langmuir probe measurements, and both showed the same effects. Two types of bias-induced changes in electron density were observed. One was a gas composition effect caused by etch or sputter products liberated from the wafer surface. The other was an electron heating effect caused by absorption of bias power by plasma electrons. Simple models of each effect were derived and shown to yield quantitative predictions in agreement with the observations.
PS-ThP-3 Measurement of Ion Energy Distribution in Dual-Frequency Capacitively Coupled Plasma
S.-H. Seo, H.-S. Lee, J.-B. Lee, H.-Y. Chang (Korea Advanced Institute of Science and Technology)
The ion energy distribution in a large-area and dual-frequency capacitively coupled plasma (CCP) was measured by using two methods, the noninvasive ion energy analyzer (NIEA) and the quadrupole mass spectrometer (QMS). The argon plasma was generated by 2 and 13.56 MHz RF powers, which were separately applied to two electrodes with a diameter of 600 mm and a gap distance of 50 mm between two electrodes. The NIEA detector was installed between the matching network and the electrode at two electrodes. It was found that the the energy distribution of ions incident to the low-frequency (LF) RF electrode exhibits a characteristic distribution with a series of peaks when 2 and 13.56 MHz RF power are applied simultaneously while it exhibits a single peak distribution or a well-known double peak distribution when a single RF power is applied or it is measured at high-frequency (HF) RF electrode. Those structured ion energy distributions were observed for a variety of the power ratio of two RF powers. The QMS equipment was installed inside the LF RF electrode. And, the energy distribution of ions incident to the LF RF electrode was measured by using the QMS and was compared with the ion energy distribution measured by the NIEA. The features of the ion energy distribution were analyzed and explained by the modulation of the sheath potential by two RF frequencies, which could be measured with the high-voltage probe at the electrode.
PS-ThP-4 Analysis of Plasma Electrical Characteristics during Arcing and the Development of Arcing Detector in rf Discharges
K.Y.H. Kim (KAIST, Republic of Korea)
Arcing phenomena have become a fatal problem in TFT-LCD fabrication, semiconductor manufacturing, PECVD, and many other processes using plasma. But it has been unknown how arcing affects electrical characteristics of plasma. We investigated the sudden-electrical perturbation of plasma by arcing. The RF electrode was dc grounded to increase plasma potential and generate arcing. We measured floating potential, RF voltage and current to analysis the plasma-electrical variation by arcing. Experimental results show that the arcing in RF discharges change suddenly electrical characteristics of plasma and that especially amplitudes of both RF voltage and current decrease during arcing. And we described experimental results (plasma-electrical variation by arcing) analytically. Finally, using the arcing variation, we developed the equipment which can detect plasma arcing by non-perturbed method. This equipment was tested in real processing reactor like as semi-conductor etcher and showed the ability of sensitive arcing-detection.
PS-ThP-5 Diagnostic of Plasma Generated in Water by Time-Resolved Optical Spectroscopy
C. Miron, M.A. Bratescu, T. Ishizaki, N. Saito, O. Takai (Nagoya University, Japan)
Electrical discharges in water are used for generation of ozone, oxygen, hydroxyl radicals and other chemically active species. These reactive species leads to favorable conditions for synthesis, as well as degradation of compounds. Therefore, electrical discharges have found applications in metal nanoparticles synthesis, water disinfection, biomedical applications. Numerous works have also presented concerning the electrical behavior of water subjected to high electric fields. Despite the progress, there is not yet a complete understanding of issues relating to the breakdown initiation process and the physics of charge creation in liquids. In this study, we investigate electrical and optical properties of a discharge process in water. Time resolved optical emission spectroscopy method is used to determine the reactive species generated in the aqueous system. An electrical discharge process in ultrapure water was generated between two cylindrical electrodes in a plastic vessel. Electrodes of different diameters and materials were used in the process. The electrical discharge conditions were varied in order to determine the evolution of reactive species generated in the water. The breakdown voltage and also the electrical current applied in the discharge were observed to be modified when using different materials of the electrodes and different interelectrode gaps, thus changing the plasma behavior. The water conductivity and pH values were also changed, depending on the electrode material used in the discharge process. The time emission spectra of hydrogen, oxygen atoms and hydroxyl radicals were studied in dependence with discharge voltage, pulse width, repetition frequency. A detailed analysis of different emission lines after the ignition of the high voltage pulse was realized, with modifying the voltage, pulse width, and repetition frequency.
PS-ThP-6 Diagnostics of a Microwave Plasma by Optical Computerized Tomography
C. Tian, T. Nozawa, K. Ishibasi, M. Horigome (Tokyo Electron LTD., Japan)
A Radial Line Slot Antenna (RLSA) for surface-wave-plasma at 2.45GHz is a promising candidate with respect to increased process requirements for the large-diameter plasma. Diagnostics of such a kind of plasma was performed by Optical Emission Spectroscopy (OES) under various process conditions. Time-averaged computerized tomography has been developed to obtain a sliced 2D-image for optical emission from the RLSA plasma, which gives some useful information of spatial distribution of the relative net production rate of ions and radicals. The discharge chamber is about 35 cm in diameter and 20 cm in depth with a dielectric window 3 cm thick on the top. A smart optical scanner is amounted to the observe quartz window at the plasma diffusion level. The intensity of optical emission at selected wavelength throughout OES can be reconstructed to a spatial profile of species in RLSA plasma. We performed the measurement under low-k CVD process condition for the spatial distribution of species CFx. The changes of spatial distribution of ions and radicals of CFx under different RF bias and stage temperature reveal some essential plasma dynamics relate to the property of Low-k film. It has been concluded that the optical computerized tomography provides a way for fast plasma diagnosis and efficient real time process control.
PS-ThP-7 Diagnostics in a Continuous Electron Beam-Generated Plasmas
S.G. Walton, E.H. Lock, R.F. Fernsler (Naval Research Laboratory)
The US Naval Research Laboratory has developed a plasma processing system that relies on a magnetically collimated, sheet of multi-kilovolt electrons to ionize the background gas and produce a planar plasma. High-energy electron beams are efficient at producing high-density plasmas (ne > 1010 cm-3) with low electron temperatures (Te < 1.0 eV) over the volume of the beam, resulting in large fluxes of low-energy ions (< 5 eV) at surfaces located adjacent to the electron beam. Most systems under developed at NRL use a hollow cathode pulsed to high voltages to produce the electron beam, which of course, results in a modulated plasma. We have recently developed a continuous electron beam source and are investigating the plasmas produced using this source. In this work, we discuss the bulk plasma properties and the ion flux at electrodes located adjacent to the electron beam. A Langmuir probe is used to determine the plasma density and electron temperature, while an energy-resolving mass spectrometer is used to determine the ion energies and fluxes at electrodes. Together the diagnostics provide a comprehensive description of the system. These plasma parameters will be determined as a function of gas background, electron beam intensity, and electrode bias. This work was supported by the Office of Naval Research.
PS-ThP-8 In Situ Plasmas Diagnostics Study of a Commercial High Power Hollow Cathode Magnetron Deposition Tool
C.H. Castano, D.N. Ruzic, B.C. Masters, M.J. Neumann, E.R. Ritz (University of Illinois at Urbana-Champaign)
A variety of plasma diagnostics can be used to study the detailed influence of parameter variation on the plasmas used for PVD and PECVD on a commercial 200mm iNOVA high power hollow cathode magnetron deposition tool. Because of the special plasma conditions, non-standard geometry, and some non-standard frequencies used, specifically designed diagnostics are preferable to commercial solutions. These diagnostics include Langmuir probe analysis for electron temperature and density, a Faraday cups to study ion energy and density, optical spectroscopy for ion species identification and energy, quartz crystal microbalances combined with electrostatic and magnetostatic filters for deposition rates and ionization fraction of the incident metal atom species. Initial results from the plasma studies will be shown and compared tto theoretical calculations for ionization fraction and efficiency.
PS-ThP-9 Application of Exhaust Line OES on Plasma-less Process for Advanced Process Control
S. Han, Y.-J. Kim, S.W. Choi, W.-S. Han (Samsung Electronics Co. Ltd, South Korea)
Recently, APC(Advanced Process Control) using in_situ monitoring sensor become more important for the enhancement of production efficiency and quality control mainly FD(Fault Detection) in mass production to meet specs for reduced feature size. Moreover, it makes process development and ramp up of yield faster. So, many in_situ electrical and optical sensors are being evaluated to find more sensitive and appropriate sensor for each specific process or equipment in many chip makers. The requirements of external in_situ sensor should be low in the price to easily manipulate, small to install, and justly accurate in the detectability. Among them, exhaust line OES sensor holds limelight due to that it can be used for monitoring non plasma process as well as plasma process. In this study, it has been qualified that exhaust line OES is a best solution as a real time gas analyzer, which can monitor by_product generated from chemical reaction, which can optimize EPD (End Point Detection), pre_conditioning time like seasoning, and process drift like"first wafer effect" of many different processes. First of all, most important thing is to detect or monitor undesirable process excursion like air leak, which affects product yield.

Application of exhaust line OES on plasma_less process for Advanced Process Control Keywords: OES (Optical Emission Control), APC (Advanced Process Control), FD (Fault Detection).

PS-ThP-10 Dry Etching of Extreme Ultraviolet Lithography (EUVL) Mask Structures in Inductively Coupled Plasmas (ICP)
D.Y. Kim, H.J. Lee, H.Y. Jung, N.-E. Lee (Sungkyunkwan University, Korea); T.G. Kim, B.H. Kim, J. Ahn, C.Y. Kim (Hanyang University, Korea)
Currently, extreme ultraviolet lithography (EUVL) is being investigated for next generation lithography. Among the core EUVL technologies, mask fabrication is also of great importance. In this work, we investigated etching properties of the EUVL mask materials such as Al2O3 (ARC : anti-reflected coating layer), TaN (absorber layer), Ru (buffer/capping layer) and Mo/Si multi-layer (reflective layer) in inductively coupled plasmas. Etch rate and etch selectivity of the mask materials were investigated by varying the gas flow, DC self-bias voltage (Vdc) and top electrode power. Based on the etch results of each layer, etching of stacked mask structures were carried out. The Al2O3 ARC layer could be etched with the etch selectivity close to 0.5 over the TaN absorber layer. The ARC/TaN stack could be etched with a high etch selectivity over the Ru buffer/capping layer.
PS-ThP-11 Multi-Level Resist Employing Physical-Vapor Deposited Amorphous Carbon
H.T. Kim, B.S. Kwon, N.-E. Lee, H.J. Cho, B.Y. Hong (Sungkyunkwan University, Korea)
In this study, we investigated the fabrication process of multi-level resist (MLR) based on thin physical-vapor deposited (PVD) amorphous carbon (α-C) layer. Due to difficulty of patterning PVD α-C with a very high plasma resistance, etching characteristics of PVD α-C layer with the SiO2 hard-mask were investigated in a DFS-CCP (dual-frequency superimposed capacitively coupled plasma) etcher by varying the process parameters such as different high-frequency/low-frequency combination (fHF/fLF), HF/LF power ratio (PHF/PLF), O2 and N2 flow rates in O2/N2/Ar plasmas. The results indicated an increased etch rate of PVD α-C for the higher fHF/fLF combination and for the increased low-frequency power (PLF). And the etch rate of PVD α-C was initially increased and then decreased with increasing the N2 flow rate in O2/N2/Ar plasmas. Application of PVD α-C layer as a mask for etching of the TEOS-oxide in the stack of ArF PR/BARC/SiO2/PVD α-C/TEOS-oxide/Si indicated a possibility of using a very thin PVD α-C layer as a mask layer in the MLR structure.
PS-ThP-12 Plasma and Electrical Characteristics of an Internal Linear Inductively Coupled Plasma Source for Flat Panel Display Processing
J.K. Park, J.H. Lim, K.N. Kim, G.Y. Yeom (Sungkyunkwan University, Korea)
Inductively coupled plasmas (ICP) have been investigated for the processing of semiconductors and flat panel display devices as one of the high density (1011 ~ 1012 cm-3) and low gas pressure plasma sources. Especially, ICP was the most attractive among the high density plasma sources due to the advantages of simple physics and a simple source structure requiring no external magnetic field. In fact, as the plasma sources for the dry etching, even though capacitively coupled plasma (CCP) sources are currently utilized for the etching of thin film transistor-liquid crystal display (TFT-LCD) devices, to improve the throughput of the TFT-LCD device processing, high density plasma sources are preferred compared to the conventional CCP sources due to their higher processing speed. In this work, an internal-type antenna (double-comb type antenna) was used as an inductively coupled plasma (ICP) source for an extremely large area (2,300 mm x 2,000 mm) processing and its plasma and electrical characteristics were investigated using a Langmuir probe and an impedance probe, respectively. Also, the etch characteristics of the photoresist (PR), such as the etch rates and etch uniformities on the large area substrate by oxygen plasma were investigated. The results showed a strong dependence of the plasma characteristics such as plasma density and uniformity on the antenna arrangement, and, for an optimized condition, the PR etch uniformity less than 13% could be obtained within the substrate area.
PS-ThP-13 Characterization of a High-Temperature Flowing Oxygen Plasma Afterglow
N.D. Vora (Vanderbilt University); D.A. Pejakovic, J. Marschall (SRI International); B.R. Rogers (Vanderbilt University)
In the last decade there has been a renewed interest in developing ultra high temperature ceramic composites (UHTCs) as potential construction materials for parts of sharp leading edge hypersonic space vehicles. Oxygen atoms are known to play an important role in this application environment. Oxidation properties of UHTCs have been traditionally studied either in thermal furnaces which provide negligible dissociation of oxygen molecules or in arc-jet tests which dissociates all of them. To attain partially dissociated oxygen environments at low pressures and high temperatures which are better representative of the application environment, we are using a flow reactor downstream to a microwave discharge. To quantify the effect of oxygen atoms on the oxidation mechanism it is necessary to characterize the flow and oxygen plasma afterglow chemistry that the sample is exposed to during oxidation. This work discusses computational and experimental characterization of the reactor over a range of process conditions. There is a lot of uncertainty in the kinetic data available in the literature for high temperature oxygen plasma afterglow chemistry. Sensitivity analysis of the reaction chemistry will be carried out to determine the dominant reaction in the plasma afterglow at relevant pressure and temperature conditions. The output from this model, specifically the oxygen atom concentration reaching the sample, will serve as an input for the subsequent modeling of the oxidation mechanism in the materials oxidized using this set-up. Thus this characterization effort will help in better understanding the high temperature oxygen plasma afterglow chemistry and also the quantitative effect of atomic oxygen on the oxidation properties of various materials.
PS-ThP-14 Performance Characteristics of Inductively Coupled rf Ion Source for Low-energy Neutral Beam
M. Park (KAIST, South Korea)
Low-energy neutral beam sources are very promising candidates for the next-generation nano-processing. To realize high-flux low-energy neutral beam, we have developed a novel 13.56 MHz radio frequency inductively coupled ion source. Argon ion beam velocity distributions were measured by dye laser-induced fluorescence technique and compared with electrostatic retarding field analyzer(RFA). We describe the extraction system which enables our ion beam source to have high ion beam current densities at very low energy (<50 eV) region without broadening of beam divergence or energy in comparison with conventional ion beams. Synchronized Pulse biasing technique is applied when operating SF6 pulsed plasma.
PS-ThP-15 Residue-free High Dose Ion-Implanted Resist Removal using a High Power O2/N2 Plasma Jet
M. Bhargava (University of Houston); A.K. Srivastava (Axcelis Technologies); W. Donner, J.C. Wolfe (University of Houston)
The complete, damage-free and efficient removal of high dose ion-implanted (HDI) photoresist is one of the most challenging issues in integrated circuit manufacturing. The problem arises because a crust of implanted metal ions and vitrified carbon forms on the resist surface that is much less reactive to plasma ashing chemistries than unimplanted resist. The throughput limitation implied by this intrinsically low ash rate is compounded by the need to limit the wafer temperature to avoid popping, the explosive ejection of macroscopic crust particles due to thermally induced volatilization of the unimplanted resist layer beneath the crust. This paper describes the application of a high power O2/N2 plasma system to ashing of HDI photoresist. Reactant gas (typically O2:N2=9:1) is activated by a 2.5 kW, 2.45 GHz surface wave discharge in an air cooled quartz process tube 6 mm in diameter. The directional flow (3slm) of process gas at 80 Torr pressure produces a plasma jet that emerges from the end of the discharge tube and impinges on a scanning wafer. The wafers are held by a vacuum chuck and rastered by an in-vacuum motor assembly at speeds up to 105 cm/s. The jet, about 1 cm in diameter, carries a thermal power of 500 W. Test wafers (200 mm) were coated with 1.0 µm thick I-line resist and implanted with an arsenic dose of 5x1015/cm2 at 40 keV and hard-baked at 120 °C. In our approach, the jet delivers hot, reactive species to the resist surface while the wafer temperature is held below the hard-bake temperature to prevent popping. Remarkably, it is then possible to selectively remove the crust from the unimplanted layer. Once the crust is removed, the base resist is rapidly ashed with a high temperature (low speed) scan. A light haze is formed on the wafer surface due to the reaction of atmospheric water vapor with the As2O3 particles that form during the ash process. This haze can be completely removed with a DI water rinse, after which, SEM and XPS analysis indicates no ash residues. Charge damage, interface trap density, and stress induced leakage were shown to be at or below values for the other commercial plasma ashing tools, which are known to provide damage-free ashing solutions. Silicon loss studies, in progress, will be reported at the conference. A conservative estimate of time-to-clear for a 300mm, 2-jet system is about 80s.
PS-ThP-16 Study of Tungsten Oxidation in Low-Temperature Plasma Processing
S. Xu, L. Diao (Mattson Technology Inc.)
As the device feature size of integrated circuit continues to be scaled down, metal or polysilicon/metal stack has been used as gate electrode for transistor formation. Among different metals investigated, tungsten meets various requirements and is gradually adopted for 45nm and below nodes. However, tungsten can be oxidized easily when exposed to oxygen plasma during process, leading to degradation of device performance. One example is post-implantation photoresist stripping in oxygen plasma where tungsten oxidation results in gate profile distortion and critical dimension change. Although an effective approach to solve this problem is available by using an oxygen-free reducing gas, such chemistry usually gives a very low photoresist removal rate and poor process uniformity. The second example is selective polysilicon oxidation over tungsten after gate etching to anneal etching damage where metal oxidation is difficult to be prevented with the presence of oxygen. The current common approach is using water vapor and hydrogen mixture to do thermal oxidation, but the process requires very high temperature and tends to cause contamination issue. In this paper, a detailed and systematic work has been conducted to study the tungsten oxidation in oxygen and oxygen-containing gases in an inductively-coupled plasma reactor operating at low temperature. By using various surface analytical methodologies, the oxidation of tungsten surface has been characterized and the oxide thickness has been measured. The dependences of tungsten oxide growth or tungsten loss on various process conditions, including RF power, pressure, temperature and exposure time, and process chemistry have been investigated. The experimental results show that tungsten oxidation occurs very fast at the top surface, but the oxide growth is mostly controlled by a few process parameters. The extent of tungsten oxidation is also found to change significantly with plasma chemistry and can be varied through post-treatment. Based on this work, mechanism of tungsten oxidation in high-density plasma has been discussed. Optimized process regime and chemistry have been identified to greatly reduce or even suppress tungsten oxidation for different process applications.
PS-ThP-17 Stabilization of Ion-beam in Hall-type Plasma Processing Device
F. Furukawa (Japan Aerospace Exploration Agency)
Hall-type plasma processing device, whose ionization/acceleration mechanisms are extremely same as magnetron, has great expectations as ion beam source for nano/micro processing. Plasma magneto-hydrodynamic(MHD) instability, however, causes at high-voltage mode operation of DC regime. In particular, large-amplitude instability in the tens of kHz has been a serious problem that should be solved to improve the operational stability and the device system durability. So we propose a hall-type plasma processing device with new design concepts that is capable of solving simultaneously the instability and the accelerator core overheating. The technologies for this concept are as follows: 1) To increase neutral species velocity-inlet in acceleration channel by preheating propellant at its conduit line inside accelerator system could bring about the lower amplitude. 2) This method of preheating propellant through circularly propellant conduit line inside propulsion system cools the device system, and produces the higher thrust and specific impulse with hardly changing thrust efficiency at the same time. 3) Furthermore, to select Boron-Nitride and Al2O3 as wall material of ionization- and acceleration-zone in acceleration channel respectively having different secondary-electron emission-coefficient could achieve the higher-efficiency and -durability. The hall-type beam accelerator designed using these technologies, which have high convergence and stabilization of high-power beam at a low-price, becomes a enhanced ion beam source. Verification of these reduction technologies is conducted through numerical analysisand experimental data: The dependencies of both performances (ion generation-/acceleration- efficiency/ energy-efficiency/propulsion-utilization efficiency) and instability amplitude/frequency on various parameters (discharge voltage/neutral species temperature/magnetic field profile) are estimated using unsteady numerical analysis and experimental data. Besides in order to clarify the physical mechanism of the technologies a new physical parameter ‘equilibrium length of ionization-zone’ is introduced. Also the spatiotemporal variations of plasma properties and electromagnetic field for the optimum operation are examined in the acceleration channel at the peculiar times in instability-cycle.

This research was partially supported by the Ministry of Education, Science, Sports and Culture, Grant-in-Aid for Young Scientists (A).

PS-ThP-18 Computational Investigation of Volume Discharge in a Nitrogen Laser
S.M. Karabanov, V.A. Korotchenko, D.V. Suvorov (Ryazan State Radio Engineering University, Russia)
The laser on the basis of the second positive nitrogen system is one of the most powerful sources of UV–radiation (wave-length – 337 nanometers). The central disadvantage of a nitrogen laser obstructing to average power increase, is its low (0.1-0.3 %) efficiency. Influence of rate of interelectrode voltage rise and value peak capacity on energy of pulse radiation and pumping efficiency is investigated in the present work by means of numerical simulation for the nitrogen laser with transversely pumped and the capacitive energy storage. It is shown, that the rate increase of interelectrode voltage rise augments radiation pulse energy. It is caused by increase of the attainable overvoltage degree and energy accumulated in capacity. Simultaneously time of discharge formation and duration of impulse pumping decrease that leads to increase of radiation pulse energy. Existence of the optimum rate of interelectrode voltage rise is determined at which peak pumping efficiency is reached. At large values of rise rate the pumping efficiency decrease is explained by increase of reduced electric field intensity and primary energy input displacement from processes of excitation to ionization. On the one hand peak capacity increase leads to raise of energy entered into discharge that leads to inversion increase, and on the other hand - impulse pumping duration increases, that reduces inversion. Results of calculation show, that to increase pumping efficiency of the laser it is advisable to reduce peak capacity value at simultaneous increase of its charge voltage in order to keep its accumulated energy. The obtained results indicate the possibility of considerable increase of the nitrogen laser efficiency by providing of optimized pumping conditions.
PS-ThP-20 Study of Micro-Trenching and Bowing with a Dry Etching Profile Simulator in a High-Density, Low-Pressure Plasma
J. Saussac, J. Margot (Université de Montréal, Canada); M. Chaker (INRS, Canada)
Sub-micron technologies are crucial for present and future communication systems. The complexity of device fabrication processes requires a deep understanding of the fabrication issues, especially when dealing with new materials and complex device geometry. Plasma etching is one of the necessary tools to realize such devices. Numerical simulations are of great interest for providing insights into the physics underlying plasma etching processes and are therefore helpful for optimizing the experimental conditions. In this work, we propose a 2-dimensional plasma etching simulator. According to our cellular approach, each cell is characterized by its state, namely etched, unetched, mask, mask surface and material surface. This state evolves according to the interaction between the cell and the incident particles. Monte-Carlo methods are used to define particle trajectories and the nature of interacting particles (ion or neutral). The etched profiles achieved from our numerical simulations favorably compare with those corresponding to various experimental conditions (physical sputtering, ion-assisted etching, mask geometry and angular ion distribution) as found in the literature. In particular, micro-trenching and bowing of the side-wall for Si and SiO2 are observed. The validation of our numerical approach through this comparison enables us to further apply it for more complex materials of interest for photonic applications, such as VO2 and SrTiO3. The next step in this study will be to examine the role of plasma parameters on the etching characteristics of such materials, in order to optimize profile accuracy (low micro-trenching, low bowing and large aspect ratio).
PS-ThP-21 Effect of a High Negative DC Bias Voltage Applied on an Electrode Immersed in an Inductively Coupled Plasma
A. Ranjan (University of Houston); L. Chen (Tokyo Electron U.S. Holdings); D.J. Economou, V.M. Donnelly (University of Houston)
A biased electrode immersed in a plasma has been used to control the plasma parameters by various researchers. For example, Coburn and Kay1 and Xu et al2 inserted a separate positively biased electrode into a plasma, to control the plasma potential, and the energy distribution of ions extracted from the plasma. Here, we report the effects of applying a high negative DC bias voltage on an electrode immersed in an inductively coupled plasma. Plasma properties with the DC voltage ON and OFF were measured using a Langmuir Probe. Superposition of a high negative DC voltage was found to change the electron energy distribution function (EEDF) and the plasma density significantly. Plasma density increased by 25%-250% by the application of high DC voltage of -900 V at 100 mTorr and rf power of 2000-800 W. These changes can have dramatic effects on plasma chemistry. It is expected that this imposed DC bias technique will provide an additional variable to control the etch rate and pattern profile in microelectronics fabrication.


1J. W. Coburn and E. Kay, J. Appl. Phys., 43, 4965 (1972).
2L. Xu, D. J. Economou, V. M. Donnelly and P. Ruchhoeft, Appl. Phys. Lett., 87, 041502 (2005).

PS-ThP-22 Planar Laser-Induced Fluorescence Measurements in an Inductively Coupled Plasma Reactor
B. Jacobs, W. Gekelman (University of California - Los Angeles); M. Barnes (Intevac Corporation); P. Pribyl (University of California - Los Angeles)
Planar Laser-Induced Fluorescence (LIF) measurements of ion velocity distribution functions have been made in an inductively coupled plasma reactor with a pulsed plasma source. Vertical and radial velocities have been measured at thousands of spatial locations within a plane, and the LIF data has been calibrated to a 96 GHz microwave interferomter. The ion behavior in the presheath region above the wafer is investigated in particular.
PS-ThP-23 Exotic Shapes of Gold Nanoparticles Synthesized with Plasma in an Aqueous Solution
J. Hieda, M. Oda, N. Saito, O. Takai (Nagoya University, Japan)
Plasma materials processing in liquid phase has a potential of an industrial process for metal nanoparticles since this process would realize extremely rapid reaction under a high pressure. Moreover, this method does not require a reducing agent to fabricate metal nanoparticles from the solution containing metal ion and extraction process of residues. In our previous study, gold nanoparticles were successfully synthesized with discharge in an aqueous solution. In this study, we reported the shape change of the nanoparticles fabricated with discharge in the aqueous solution. In order to obtain various shapes of nanoparti1cles, the amount of additives in the solution was changed. Gold nanoparticles were synthesized through reduction with a discharge in the aqueous solution containing chlorauric acid as metal source. Gelatin was added to the aqueous solution as a stabilizer. Electric conductivity of the solution was varied from 500 to 2500 μS/cm in order to obtain suitable condition for generation of discharge by the addition of KCl. The discharge was generated by a pulsed power supply. The applied voltage and the pulse width were ca. 1600 V and 2 μs, respectively. The gold nanoparticles were observed by transmission electron microscopy (TEM) and analyzed by energy dispersive X-ray spectroscopy (EDS). Plasmon band of the gold nanoparticles were measured by ultraviolet-visible spectroscopy (UV-Vis). TEM images show the presence of exotic shapes of gold nanoparticles, that is, triangle sheet, pentagon, hexagon and so on. The exotic shapes were generated when the concentration of KCl became higher. The synthesis of nanoparticles using other surfactants (e.g. CTAB) with discharge was also demonstrated. These results suggested that the shapes of the nanoparticles strongly depend on KCl or surfactant concentrations in the solution.
Time Period ThP Sessions | Topic PS Sessions | Time Periods | Topics | AVS2007 Schedule