AVS2009 Session AS+EM+MS+TF-TuM: Spectroscopic Ellipsometry III

Tuesday, November 10, 2009 8:00 AM in Room C2

Tuesday Morning

Time Period TuM Sessions | Abstract Timeline | Topic AS Sessions | Time Periods | Topics | AVS2009 Schedule

Start Invited? Item
8:00 AM AS+EM+MS+TF-TuM-1 Optical Characterization of Plasma-Deposited SiO2-like Layers on Anisotropic Polymeric Substrates
Gianfranco Aresta (Eindhoven Univ. of Tech., The Netherlands); Antony Premkumar (Materials Innovation Inst. (M2i), The Netherlands); Sergey Starostin (Eindhoven Univ. of Tech., The Netherlands); Hindrik de Vries (FUJIFILM Mfg Europe B.V, The Netherlands); Richard van de Sanden, Mariadriana Creatore (Eindhoven Univ. of Tech., The Netherlands)

Amongst the most common thin film characterization tools, spectroscopic ellipsometry (SE) is increasingly used to determine the layer optical properties. Such characterization is still a challenge when optical anisotropy is present either in the film or in the substrate. The study of thin films deposited on polymeric substrates is an example because polymers often show optical anisotropy. In this contribution the optical characterization of poly(ethylene 2,6-naphtalate) (PEN) in its transparent region is carried out by means of Transmission Generalized Ellipsometry (TGE) and reflection multi- angle SE measurements. TGE measurements allow the determination of the in-plane and out-of-plane anisotropy and orientation of the material index ellipsoid, with respect to the laboratory frame. Reflection multi-angle SE measurements are performed to determine the absolute refractive index values along the x, y and z directions (i.e. the laboratory frame). The full optical characterization of PEN substrates has been carried out first by identifying its in plane anisotropy (i.e. Δnxy = nynx) and in-plane orientation of the material index ellipsoid with respect to the x axis, by means of TGE measurements at 0° angle of incidence. A second step consisting of TGE measurements at different angles of incidence has allowed the determination of the out-of-plane anisotropy (i.e. Δnxz = nxnz) and the material index ellipsoid out-of-plane orientation with respect to the z axis. Finally, reflection multi angle measurements have allowed the determination of the optical dispersions along the three axes (x, y, z). The values of the refractive index calculated at 633 nm are nx = 1. 74, ny = 1.75 and nz = 1.52. This characterization is functional to the determination of the refractive index of plasma-deposited SiO2-like layers deposited on PEN substrates. The SiO2-like samples have been deposited in Atmospheric Pressure Glow discharges [1,2] from Ar/O2/hexamethyldisiloxane mixtures at different duty cycles. SE measurements have been performed in ambient air and in vacuum: an increase of the refractive index values with the duty cycle has been observed, attributed, on the basis of complementary diagnostics, to an increase in film density with the duty cycle.

References:

[1] S. Starostine, E. Aldea, H. de Vries, M. Creatore, M. C. M. van de Sanden Plasma

Process. Polym. 4, S440–S444 (2007)

[2] S. A. Starostin, M.A. M. El Sabbagh, E. Aldea, H. de Vries, M. Creatore, and M. C. M. van de Sanden

IEEE transactions on Plasam Science, 36, 4, (2008)

8:20 AM AS+EM+MS+TF-TuM-2 Spectroscopic Ellipsometry in the Mid IR and UV-VIS for Investigating Low Temperature Plasma Activated Wafer Bonding
Thomas Plach, Kurt Hingerl (University Linz, Austria); Viorel Dragoi, Markus Wimplinger (EV Group, Austria)

Low temperature plasma activated direct wafer bonding (LTPADWB) for Si-SiO2 interfaces is a process that lowers the required annealing temperatures, (from usually 900°c down to 250°C) necessary for reaching high bond strength. The mechanism behind this improvement is still under discussion: The low temperature steps for the hydrophilic process are interpreted as follows: Up to 100°C the substrate surfaces are held together via van der Waals interaction which is mediated by a few monolayers of water. In the range of 100-200°C the water diffuses away from the interface both along the interface and through the oxide into the crystalline bulk, where it reacts with the silicon and forms oxide. The remaining half of the bond strength is usually attributed to a closing of gaps at the interface[1], which starts with conventional techniques at the softening temperature of the thermal oxide at around 850-900°C.

In comparison the same surface energies for the LTPADWB process are already reached at 250°C. To clarify the mechanism for this process, different bonding experiments were performed to evaluate the lifetime of the surface activation and the achievable bond strength when using substrates with various orientations. By covering half of the wafer during plasma activation, comparisons between the activated and non-activated region could be made by mid IR and UV-VIS spectroscopic ellipsometry covering the energy range from phonon energies to the UV (30meV- 6.5eV). it turns out that the spectral shape of the phonon peaks as well as the spectral shape of the critical points in the UV (E1, E2) significantly change and even the peak position changes.

Correlation measurements, by Auger analysis and by X-ray photoelectron spectroscopy.Interfaces of bonded wafer pairs have been performed in addition, as well as by transmission electron microscopy (TEM). TEM clearly shows that there is no discernible interface between the native oxide on one side and the thermal oxide on the other side.

From the spectroscopic ellipsometry data it was found that the top surface stoichiometry is chemically changed, which favors bonding. Finally a model for the mechanism that explains the experimental results will be presented.[1] Q.-Y. Tong, U. Gösele, Semiconductor Wafer Bonding: Science and Technology, Wiley

8:40 AM AS+EM+MS+TF-TuM-3 Applications of Ellipsometry and Polarimetry to Real-Time Analysis and Control of Epitaxial Growth
D.E. Aspnes (North Carolina State University and Kyung Hee University, Korea)

Many aspects of epitaxial growth are now mainstream technologies, routine enough so that real-time monitoring simply gets in the way. However, the situation is different in emerging areas involving the heteroepitaxy of chemically or lattice-mismatched materials, where paths to success through kinetics and thermodynamics are not well understood, or even identified. Here, real-time analysis and control by ellipsometry or polarimetry not only can provide unique information but may also be essential in achieving objectives. In particular, these techniques can provide information about the critical initial stages of growth well down into the submonolayer scale in addition to the evolution of growth beyond the first monolayer. Further, analysis of data records allows diagnostics to be performed after the fact, permitting detailed analyses of processes that went wrong -- or right. I provide examples from our experiences with organometallic chemical vapor deposition, including sample-driven feedback-control of composition and the analysis of the initial phases of epitaxy of such diverse systems of GaSb on GaAs, GaP on Si, and ZnO on sapphire. The latter application involves a material whose precursors react in the gas phase and where the product sublimes. Real-time polarimetric data provided the information needed to grow high quality material.

9:20 AM AS+EM+MS+TF-TuM-5 Spectroscopic Ellipsometric Sudy of Phase-Change Materials for Data Storage Applications
Emmanuel Gourvest (STMicroelectronics, France); Christophe Vallée (LTM - CNRS/UJF/INPG, France); Sandrine Lhostis (STMicroelectronics, France); Christophe Licitra, Anne Roule (CEA - LETI, France); Bernard Pelissier (LTM - CNRS/UJF/INPG, France); Sylvain Maitrejean (CEA - LETI, France)

Chalcogenide materials are widely used for phase change data storage based on the remarkable change of properties between the crystalline and the amorphous phase. The fast and reversible phase transition is accompanied by a high electrical and optical contrast and consequently a change of electronic structure which is still not well understood. In this work we present the optical function spectra of different phase-change materials. Ge2Sb2Te5, Ge-doped GeTe and N-doped GeTe films were grown by co-sputtering PVD method on 200 mm wafers and were treated with different annealing temperatures. Film thickness, oxydation and composition were evaluated using X-Ray Reflectivity, Rutherford BackScattering and Angle Resolved XPS.

Optical parameters were fitted from data measured by variable angle spectroscopic ellipsometry. Measurements were carried out between 0.5 and 8 eV for Ge2Sb2Te5 samples in amorphous, fcc and hc crystalline phases in order to characterize phase-change bulk layer and surface oxide layer. Ge doped GeTe and N-doped GeTe samples were measured between 0.6 and 6 eV for amorphous and rhombohedral phases.

Ge, Sb and Te thin films are also elaborated by PVD in order to obtain optical laws for the different elements and well defined the optical response of their oxides. Then, optical responses of GST, Ge-doped GeTe and N-doped GeTe films are simulated using Tauc Lorentz law and including the presence of the oxidized upper layer identified by XPS and XRR. Finally, Ge-rich GeTe films before and after crystallization are analyzed using Tauc Lorentz law as well as BEMA. Influence of Ge and N doping in GeTe optical properties (in terms of gap and refractive index) is then discussed. The comparison between as-deposited samples and annealed samples shows in some case the presence of Ge phases in a GeTe medium.

9:40 AM AS+EM+MS+TF-TuM-6 VUV Optical Properties of III-Nitrides in the Thin Film Limit
Christoph Cobet, Marcus Röppischer, Christoph Werner (Institute for Analytical Sciences, Germany); Rüdiger Goldhahn (Ilmenau University of Technology, Germany); Norbert Esser (Institute for Analytical Sciences, Germany)
In the last few years no other class of semiconductors has attracted so much scientific and simultaneously commercial attention like the group III-nitrides. The extraordinary physical properties have stimulated many new developments of (opto-)electronic devices. Prominent examples are the short wavelength laser diodes, which take advantage of the wide band gap of GaN (3.42eV). Such devices consist of three compounds: InN, GaN, AlN and their ternary or quaternary alloys. The respective band gap shifts from the near infrared for pure InN (0.68eV) to the ultraviolet for AlN (6.03eV), while the lattice constant variation is relatively small and allows the growth of heterostructures. It is probably surprising that several fundamental physical properties are still under discussion, although the III-nitrides are already widely used. Uncertainties concern, for example, the question whether zinc blende AlN has an indirect band gap or the impact of electric fields and strain on the electronic band structure. The latter effects are significant in particular for thin films, quantum wells, and super lattice structures. Furthermore, quantum size effectsalter the optical properties of such structures. But, also the knowledge about the bulk optical properties above the fundamental band gap is still incomplete.

We apply broad band spectroscopic ellipsometry from the visible to the vacuum ultraviolet. It is an excellent method in order to study electronic band structure peculiarities by means of dipole transition features in the dielectric function. On the other hand, it also allows a very precise determination of the dielectric function itself (refractive index and absorption). Device performance critically depends on the optical properties around e.g. the emission wavelength. However, this spectral region is strongly influenced by all higher inter band transitions according to the Lyddane-Sachs-Teller relation. For our investigations on binary GaN and AlN, as well as on ternary AlxGa1-xN, we have mainly used a home made synchrotron ellipsometer. The extraordinary properties of the synchrotron light source allow measurements with very high spectral and spatial resolution in a very broad spectral range. By taking advantage of the polarization sensitivity, we could determine the independent ordinary and extraordinary dielectric function in the hexagonal materials. In a comprehensive discussion of the dielectric functions for the hexagonal and cubic crystal structure, we could identify band gap related excitons and all higher interband transitions. This knowledge is used in order to study effects of composition and strain, as well as quantum size effects in more detail.

10:00 AM BREAK - Free Coffee in Exhibit Hall 1
10:40 AM AS+EM+MS+TF-TuM-9 Parameterization of the Optical Function of Hydrogenated Amorphous Carbon by Means of B-splines
Jan-Willem Weber, Terje Hansen, Richard van de Sanden, Richard Engeln (Eindhoven University of Technology, The Netherlands)
Spectroscopic ellipsometry (SE) is a non-invasive optical diagnostic that measures the change in polarization of light reflected on a thin film. To extract both the optical function and thickness of the film from SE data, a (multi-layered) model is required that describes the interaction of the incident light with the film. For amorphous materials this interaction is commonly modeled by the Tauc-Lorentz oscillator and is used to parameterize the optical function [1].
However, a fully mathematical Kramers-Kronig consistent description of the optical function by means of B-splines is also possible [2]. The B-spline parameterization requires no pre-existing knowledge about the interaction of light with the film. The layer structure for this model consists of a substrate, the bulk layer, of which the optical function is represented by B-splines, and a roughness layer. The roughness is modeled by an effective medium approximation of 50% bulk material and 50% voids. This layer structure is verified by cross-sectional scanning electron microscopy (SEM) measurements. The roughness is in good agreement with values determined by atomic force microscopy (AFM).
When the B-spline model is applied to SE data obtained during growth, it has been found that the optical function for every measured thickness is the same, thereby ascertaining the homogeneity of the bulk layer of the a-C:H. During etching of a-C:H with a hydrogen plasma, the optical function of the film - due to the homogeneity of the bulk material - can be fixed throughout the entire etch process, which enables real time in situ monitoring of the thickness evolution.
Further parameterization of the dielectric function, as found by the B-spline model, by a physics-based model is possible. For a-C:H films, the bulk layer is described by two Tauc-Lorentz oscillators, from which the sp2/sp3 ratio has been, tentatively, determined from SE data up to 6.5 eV [3].
In all, the B-spline model is an accurate and fast method to determine thickness, roughness and optical constants for numerous types of thin films, including - as has been shown - hydrogenated amorphous carbon. The determined film properties can also be used as input parameters for physics-based models.
[1] G.E. Jellison and F.A. Modine Appl. Phys. Lett.69 (1996) 371
[2] B. Johs and J.S. Hale, Phys. Stat. Sol. A 205 (2008) 715
[3] S. Kassavetis et al., Diamond Relat. Mater.16 (2007) 1813
11:00 AM AS+EM+MS+TF-TuM-10 Characterization of P3HT Anisotropic Thin Films with Spectroscopic Ellipsometry
James N. Hilfiker, Jianing Sun, Tom Tiwald, Greg Pribil (J.A. Woollam Co., Inc.)

Many methods have been developed to enhance the information content from spectroscopic ellipsometry (SE) measurements. This has allowed precise characterization of dielectrics, semiconductors, and even thin metal films. Appropriate strategies for SE characterization are needed as the thin films become more complex. The thickness and index of transparent films are readily determined. Absorbing films require additional information to uniquely determine thickness and complex refractive index. SE methods for absorbing films include interference enhancement, multi-sample analysis, optical constant parameterization, and simultaneous analysis of SE and intensity-based optical measurements.

P3HT is both absorbing across the visible spectrum and anisotropic. The anisotropy is due to molecular stacking and results in a difference between the complex refractive index parallel to the surface (in-plane) and normal to the surface (out-of-plane). To precisely characterize P3HT films requires determination of film thickness and both in-plane and out-of-plane complex refractive indices. The methods developed for absorbing films are applied to a series of P3HT thin films prepared with multiple thicknesses and on multiple substrate types.

Thick SiO2 coatings on silicon provide interference enhancement which modifies the light-interaction in the P3HT layer as angle of incidence changes. Characterization is compared with different underlying SiO2 thicknesses. Multi-sample analysis increases measurement information by combined analysis of samples with a common set of P3HT optical constants. This is applied to P3HT films with different thickness, as well as films coated on different substrates. The combination of SE and intensity-based measurements provides additional information about absorbing films. For P3HT coatings on glass, normal incidence transmittance can provide additional sensitivity to the in-plane complex refractive index. Optical constant parameterization reduces the solution-space, commonly restricting the optical constant functions to be smooth, continuous and Kramers-Kronig consistent. These methods are compared for P3HT films with a discussion of both merits and limitations.

11:20 AM AS+EM+MS+TF-TuM-11 Analysis of CdTe and CdS Thin Films and Photovoltaic Device Structures by Spectroscopic Ellipsometry
Michelle Sestak, Jian Li, Jie Chen, Courtney Thornberry, Dinesh Attygalle, Robert Collins (University of Toledo)
The techniques of in-situ real-time and ex-situ spectroscopic ellipsometry (SE) have been applied for the analysis of polycrystalline II-VI thin films and device structures fabricated by magnetron sputtering onto various substrates for photovoltaics (PV) applications. The CdS/CdTe heterojunction PV technology has led to efficiencies as high as 14% (for an all-sputtered device), and to the lowest module manufacturing costs in the PV industry (< $1/W). In our SE studies, depositions of individual CdTe, CdS, and CdTe1-x Sx films on smooth crystalline silicon substrates have provided information on the nature of thin film nucleation and coalescence, as well as on the evolution of the dielectric function with thickness and quantum size effects in very thin films (< 30 nm). Films remain sufficiently smooth to a thickness of ~ 50 nm under a wide range of deposition conditions so that accurate dielectric functions could be determined as a function of temperature upon suspending the deposition at this thickness and cooling the film to room temperature. Such dielectric functions are deemed accurate because they are measured in situ under high vacuum, thus avoiding surface oxidation and contamination. In addition, surface roughness corrections are made based on roughness determinations obtained from the full real time SE data set. Variations with deposition conditions in the critical point parameters of the resulting room temperature CdTe and CdS dielectric functions have provided information on film stress, defects, and grain size. Upon reheating the film to the deposition temperature and resuming the deposition for the fabrication of the thick (~ 1 micron) films used in PV devices, surface roughness evolution and void volume fraction depth profiles have been extracted. These provide key insights into the optimization of a subsequent CdTe processing step – an anneal in CdCl2 vapor which promotes grain growth and strain relaxation in the active CdTe layer for high efficiency PV devices. Ex situ ellipsometry techniques have also been developed that involve smoothening the thick CdTe film with a succession of Br+CH3OH treatments that enables step-by-step etching and time reversed spectroscopic ellipsometry on CdCl2 treated device structures. Finally, through-the-glass SE has been developed for multilayer analysis of completed PV devices with the potential for scale up to full 2' x 4' modules in a mapping mode using the reference dielectric function database developed by real time SE.
11:40 AM AS+EM+MS+TF-TuM-12 Synergism of Ellipsometric Porosimetry with Other Complementary Characterization Techniques for Process Control of Ultra Low k Dielectric Films
Anita Madan, Nancy Klymko (IBM); Dimitri Kioussis (GLOBALFOUNDRIES); Geraud Dubois, Leo Tai, Mark Chace, Darryl Restaino, Janine Protzman (IBM)

This paper discusses new protocols established for matching material properties of ultra low k films for tool matching and process control required for robust manufacturability. These are based on extensive repeat off-line measurement of 2 different films to determine the precision (3 sigma variation), reproducibility and error bars in the measurements.

At the 45 nm node, porosity was first introduced into the interconnect structures in the form of porous SiCOH (pSiCOH) inter-level dielectric films to reduce the capacitance-resistance delay. pSiCOH films are typically deposited using Plasma Enhanced Chemical Vapor Deposition (PECVD) when a precursor containing C, H, Si, O and a hydrocarbon porogen precursor are simultaneously introduced in the processing chamber and RF power is applied. Subsequent exposure to UV irradiation results in the removal of porogen (CHx phase) to create porosity and therefore a lower k value.

Optimization of the UV cure time of the film is critical since overcuring of the film can result in unwanted demethylation and extended network crosslinking of the siloxane backbone which can collapse the pores, altering the porosity, and detrimentally increasing the k value. In-line ellipsometric measurements of refractive index and shrinkage are typically used to monitor the film properties and do not completely reflect the changes in the porosity.

This paper will discuss the methodology for the quantification of the % porosity using Ellipsometry Porosimetry(EP). In addition, measurements of carbon content using XPS, remnant porogen using thermal gravimetric analysis (TGA), and demethylation using FTIR will be presented and correlated to the % porosity. For a 5000A pSiCOH film with 25% porosity, repeatibility studies using off-line EP measurements showed 0.5% variation. Composition from XPS sputter depth profiling resulted in C: 16.0 +/- 4.0 at%; Si: 38.0 +/- 4.0 at%, and O: 46.0 +/- 4.0 at%. The FTIR CH2 peak at 2885 cm-1 normalized to SiOSi peak <0.070 mAU (milliAbsorbance Units) was determined to be an appropriate measure of the porogen removal, along with porogen weight loss in the cured film at 1.0+/-0.5% using TGA. The FTIR methyl silicon CH3 peak at 2974cm-1 at 0.0125 +/- 0.0006 AU (normalized to SiOSi peak) is a sensitive parameter indicative of an overcured condition. Cross linking criteria could not be reliability established, though degree of crosslinking is related to changes in the bulk modulus observed with various cure conditions.

The end result is a more comprehensive and accurate characterization of the ultra low k pSiCOH films, thereby ensuring needed manufacturing controls for chamber matching and tool qualification.

Time Period TuM Sessions | Abstract Timeline | Topic AS Sessions | Time Periods | Topics | AVS2009 Schedule