AVS2012 Session PS-WeM: Advanced BEOL/Interconnect Etching

Wednesday, October 31, 2012 8:00 AM in Room 25

Wednesday Morning

Time Period WeM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2012 Schedule

Start Invited? Item
8:00 AM PS-WeM-1 The Role and Impact of Metal Hard Masks on BEOL Etch Processes
Catherine Labelle, Ravi Srivastava, Robin Koshy, TongQing Chen, Fangyu Wu, Andre Labonte (GLOBALFOUNDRIES); Yann Mignot (STMicroelectronics); Marcy Beard, Bryan G. Morris, Yunpeng Yin (IBM Systems and Technology Group)

Back-End-of-Line (BEOL) etch processes have undergone a significant transition in recent years as the requirements of advanced technology nodes have shifted the advantage from via-first-trench-last (VFTL) to trench-first-metal-hard-mask (TFMHM) dual damascene patterning schemes throughout the industry. This shift has been primarily driven by the TFMHM scheme’s ability to enable self-aligned vias (SAV), as well as inherently be more multi-patterning friendly through the use of a dissimilar hard mask material. However, typically key advances don’t come without other complexities, and TFMHM is no exception. The role and impact that the metal hard mask material has on all of the etches where it is involved is significant and sometimes very subtle. At a high level, the metal hard mask influences can be split into two areas: patterning of the MHM itself, and etches where the material acts as the hard mask. The patterning of the MHM itself is where all of the challenges associated with multi-patterning come into play. The MHM profile largely determines the overall critical dimension behavior across all patterns, and so pattern control and fidelity in both x and y dimensions are critical. The material properties of the MHM play a significant role in etch profile control and line edge/width roughness (LER/LWR). When considered as a hard mask in the etch, the MHM impacts are far-reaching. First, the selectivity of the MHM material vs. the underlying films must be considered. This is a key focus of etch process optimization when implementing an SAV patterning scheme and often drives all facets of the etch process. Second, the very properties that make it attractive as a hard mask (etch resistivity) can also make it the source of many other issues, typically due to the non-volatile nature of most MHM materials (Ti, TiN, TaN, etc.). Some examples include metal-containing sidewall and/or via hole residues, temperature sensitive profiles, ability to shape the MHM to help metallization, and chamber contamination effects (i.e., non-repeatability or slot-order effects). The MHM material properties have a large influence on these etches, as well, with tradeoffs between etch resistivity (good for SAV) and metal material stress (bad for pattern integrity --> can induce line wiggling). This talk will review some of the benefits of utilizing a metal hard mask, as well as some of the challenges that come hand-in-hand with it and how plasma etch process optimization is advancing in learning how to work with these materials.

This work was performed by the Research Alliance Teams at various IBM Research and Development Facilities.

8:40 AM PS-WeM-3 Evaluation of Chemistry Effects of Fluorcarbon Molecules for High Aspect Ratio Silicon Oxide Etch
Curtis M. Anderson, Rahul Gupta, Christian Dussarrat (Air Liquide)
For semiconductor dry etch applications, the evolution of chemistry selection has shifted away from fully saturated fluorocarbons towards molecules that exhibit increased selectivity of silicon oxide over mask and underlayer materials. Recent studies show that the presence of both H atoms and C=C bonds can improve selectivity while maintaining reasonable silicon oxide etch rate. The reason for this is linked to the behavior of molecule fragments in a plasma etch environment, wherein higher C:F ratios are favored. The intent of this work is to systematically study the role of gas molecule structure as it affects etching behavior, with the goal of finding an ideal candidate molecule to enable current and future processing requirements.This study will provide an extensive survey of etch chemistries in order to uncover the specific effects of H, C=C double bonds, F:C ratio, and molecule structure as they relate to etching performance. The model chemistries for this work include both cyclic- and linear-type structures. Using a RIE plasma etch tool, the performance of each molecule is studied on blanket wafers, measuring silicon oxide etch rate as well as selectivity to photoresist, silicon nitride, and amorphous carbon hardmask films. For selected conditions of optimized etch rate and PR selectivity, patterned wafer stacks are also etched and examined in cross-section SEM. Corresponding optical emission spectroscopy (OES) data is collected at the same time, showing qualitatively the relative densities of active species in the plasma during the etch process. In order to develop predictive tools to correlate etch performance to the molecule structure, we perform mass spec measurements of the gases by direct injection of the fluorocarbon gas, measuring the electron-impact fragmentation of each gas. Electron energies from 10-100 eV are recorded, and the relative abundance of each fragment species is plotted against the electron energy. It is found that this method gives a strong indication of a molecules propensity to deposit polymer films during an etch process. Further, the nature of the representative polymer film for each gas can be predicted from the fragmentation patterns, in terms of F:C ratio and C-F bonding as measured by XPS.As a result of this analytical work, several optimum etch molecules have been identified that exhibit excellent selectivity to both PR and a-C hardmask. These chemistries are currently being tested in commercial dielectric etch tools to validate performance.
9:00 AM PS-WeM-4 Dielectric RIE Challenges Associated to Trench First Metal Hard Mask at 64 nm Pitch and Below
Yannick Feurprier, Li Wang (Tokyo Electron Tech. Center, America, LLC); S. Nakamura (Tokyo Electron Miyagi Ltd., Japan); Josh Stillahn, Yuki Chiba, Kaushik Kumar (Tokyo Electron Tech. Center, America, LLC); Yann Mignot (STMicroelectronics); Eiichi Soda (Renesas Electonics); Robin Koshy, Ravi Srivastava (GLOBALFOUNDRIES); Y.J. Park (Samsung Electronics Co. Ltd.); John Arnold (IBM Research Group)
Over the last couple of technology nodes (from 45 nm node and beyond), Trench First Metal Hard Mask (TFMHM) integration scheme has gained traction and become the preferred integration of low-k materials for BEOL. This integration scheme also enables Self-Aligned Via (SAV) patterning which prevent via CD growth and confines via by line trenches to better control via to line spacing. Also for the 64 nm pitch technology and below, TFMHM is well suited for double patterning of the line definition required for the ever smaller line pitches of the most critical BEOL levels. In the SAV process, temperature, gas chemistry, power and pressure were shown to be key process parameters to meet the metal HM selectivity requirements. The flexible adjustment of the ion energy and control of the flux of ions and active neutrals was shown to be critical to meet the metal HM selectivity requirements for both SAV and trench etching. The TFMHM integration at these dimensions requires careful and controlled metal HM selectivity. These tight technology requirements at these ever smaller pitches also challenge hardware to bring evolutionary improvements to enable wider process windows to meet tighter process specifications. In this paper, the RIE efforts on process controls of the via and trench profiles, the metal HM selectivity management and hardware solutions to address the dielectric RIE challenges associated to TFMHM scheme at 64 nm pitch and below will be discussed.
This work was performed by the Research and Development team at TEL Technology Center America in joint development with IBM Research Alliance Teams in Albany, NY 12203.
This work has also been supported by the independent Bulk CMOS and SOI technology development projects at the IBM Microelectronics Div. Semiconductor Research & Development Center, Hopewell Junction, NY 12533.
9:20 AM PS-WeM-5 Interfacial Characterization of Patterned Porous Low-k Nanostructure using Infrared and X-ray Photoelectron Spectroscopy
Sirish Rimal, Nick Ross, Simon Koskey, Tamal Mukherjee, Oliver Chyan (University of North Texas)

The advanced microelectronic architecture utilizes more conductive Cu interconnect insulated by porous low-k interlayer dieelctrics (ILD) to minimize the overall RC delay. To decrease the dielectric constant, the porosity of organosilicate glass was increased by partial replacing Si-O with Si-C bonds during plasma-enhanced chemical vapor deposition. However, carbon stripping by subsequent plasma etching/patterning processes can make porous low-k interlayer dielectrics, with higher carbon content, more prone to water damage during subsequent wet cleans processes. In this presentation, we report the development of a new characterization approach that utilizes Multiple Internal Reflection Infrared Spectroscopy (MIR-IR) as a sensitive characterization tool to guide the development of cleans-friendly plasma etches with minimal ILD damages. Previously, we have successfully utilized MIR-IR to characterize chemical bonding of hydrogen termination, trace organic adsorption and plasma deposited polymer thin film on silicon wafer surface with sub-monolayer sensitivity. MIR-IR utilizes the silicon wafer (including patterned ILD wafer) itself as an IR waveguide to enable multiple total internal reflections which greatly enhances IR measuring sensitivity. Therefore, MIR-IR possesses a unique capacity of identifying specific functional groups of deposited thin films (sub 10 nm) and monitoring their corresponding reactivity evolution influenced by plasma process. We will discuss new characterization results of post-etch residues formation (fluoro-polymers), plasma etch induced water damage (silanols formation) and oxygen plasma etch of organic thin film on patterned porous low-k nanostructure using MIR-IR spectroscopy, x-ray photoelectron spectroscopy and other surface characterization techniques.

9:40 AM PS-WeM-6 Separation of Radical and Photon Effects on Nanoporous Low-k Films
Joe Lee, David Graves (University of California Berkeley)
Porous low-k materials are highly susceptible to damage from plasma species during various stages of plasma processing. Oxygen plasma, possibly the most damaging, causes significant carbon loss throughout the film, making the material hydrophilic. Absorption of water results in an increase in the dielectric constant and degradation of dielectric properties. Various O2 plasma species, such as oxygen radicals (O) and vacuum-ultraviolet (VUV) photons, can be shown to cause this carbon loss. Separation of these species to analyze individual effects can be achieved by covering the sample with a MgF2 window to allow only VUV photons to pass or by placing the sample out of the line-of-sight of the plasma to obtain radical effects, since radicals are able to diffuse to, and into, the porous material. By doing this, we can analyze the carbon loss for each individual specie through bulk measurements using Fourier-transform infrared (FTIR) spectroscopy and compare them to uncovered, direct O2 plasma exposures. Dilute HF dip etches only C-depleted SiO2, allowing a measure of the depth of C removal by radicals or VUV photons. Oxygen radicals create a nearly C-free modified layer that deepens at a rate proportional to exposure time and that increases with pressure, presumably because of the increase in O density. By contrast, VUV photons travel fairly deep into the film due to relatively low absorption cross-sections, so photon effects gradually occur throughout this penetration depth at a slower rate. Yet, VUV photons alone do not directly result in carbon loss; molecular oxygen is also needed to form volatile species for permanent removal. The results clearly show that radicals and VUV photons generate the damaged layer in different ways and at different rates.
10:00 AM BREAK - Complimentary Coffee in Exhibit Hall
11:00 AM PS-WeM-10 Evaluation of Novel Etch Gas for BEOL Interconnect Pattern Transfer at 14nm and 22nm Technologies
Robert Bruce (IBM T.J. Watson Research Center); Takefumi Suzuki, Masahiro Nakamura (Zeon Chemicals L.P.); Sebastian Engelmann, Eric Joseph, Edmund Sikorski, Nicholas C.M. Fuller (IBM T.J. Watson Research Center); Azumi Itou (Zeon Corporation)

As feature sizes continue to decrease, significant issues are found using high selectivity fluorocarbon gases to etch interconnect low-k dielectrics including low selectivity to organic masks, line wiggling and low-k damage. We have evaluated novel etch gases that enables the optimized fabrication of BEOL interconnects for 14nm and 22nm nodes. Compared to conventional fluorocarbon etch gases, experiments with a novel hydrofluorocarbon etch gas have shown a substantial increase in low-k dielectric etch selectivity to organic hard mask and improved selectivity to the capping layer. In addition, lower line-edge and line-width roughness values were observed. We investigate the etch behavior and selectivity between the low-k dielectric and organic hard mask, metal hard mask, and capping layer through optical emission spectroscopy and x-ray photoelectron spectroscopy. Etch performance is assessed for trench and via patterns and also full dual-damascene structures. Low-k damage is also investigated by post-etch HF treatment to measure critical dimension loss from dissolution of plasma-damaged dielectric. Finally, we propose a mechanism for high selectivity, low damage dielectric etch at sub-80nm pitch structures using rationally-designed novel etch gases.

11:20 AM PS-WeM-11 Dry Etching Characteristics Related to TiN Material Properties
Andre Labonte, Fangyu Wu, Vallie Arunachalam, Suraj Patil (GLOBALFOUNDRIES); Chengyu Niu (ST Microelectronics, France); TongQing Chen (GLOBALFOUNDRIES); Edem Wornyo, Bryan G. Morris, Yunpeng Yin (IBM Microelectronics); Yann Mignot (ST Microelectronics, France)
TiN is ubiquitous as a hard mask layer in semiconductor patterning applications. Deposition parameters such as DC power, N2 flow, Ar flow, temperature, pressure, and target to wafer spacing influence the film properties: density, film stress, resistivity, roughness, grain size and uniformity. These changes in the TiN film properties can affect etch characteristics. For TiN hard mask etches, shifts in etch rate, sidewall angle, undercut/gouge, CD control and LER have been observed. For dielectric etches using the TiN layer as a hard mask, changes in selectivity, erosion rate, etch byproducts, CD control, profile angle and pattern loading have been observed. This presentation will show data on the etch behavior of TiN etch as well as the etch when TiN is used as a mask.In a TiN hard mask open application, a uniformly dense film is required. As part of an evaluation of different TiN films, both uniform and non-uniform density TiN films were generated. Changes in deposition conditions were used to adjust film density uniformity while maintaining average film density. The etch process used to pattern these films was a two step process consisting of an end-pointed main etch and a timed over-etch. The impact to the dry etch process of the film change, was to alter the behavior of the two etch steps in radically different ways. For the main etch, the sidewall angle and etch rate were impacted. For the over-etch chemistry, lateral etch-rate, CD control and profile were most impacted. In addition, the impact of pattern density on via CD definition has been studied for a via double patterning scheme employing TiN as a hard mask for part of the etch. The etch was found to behave differently as a function of pattern density in a fluorine-based plasma, which was theorized to be a consequence of redeposition of TiFx etch byproducts. This theory was supported by data showing a series of TiN films with different material properties resulted in different pattern density responses. The selectivity between the TiN and the underlying film being etched was changed by these TiN film changes, resulting in the different behavior.This work was performed by the Research Alliance Teams at various IBM Research and Development Facilities.
Time Period WeM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2012 Schedule