AVS 69 Session TF+SE-FrM: Metal-Organic Frameworks and Other Network Materials

Friday, November 10, 2023 8:20 AM in Room A105

Friday Morning

Session Abstract Book
(316KB, Nov 2, 2023)
Time Period FrM Sessions | Abstract Timeline | Topic TF Sessions | Time Periods | Topics | AVS 69 Schedule

Start Invited? Item
8:20 AM TF+SE-FrM-1 Membrane Design by Atomic Layer Deposition
Mikhael Bechelany (CNRS/European Institute of Membranes)

Atomic layer deposition (ALD) is a technology offering the possibility to prepare thin films of high quality materials on high aspect ratio substrates with precise thickness control, high uniformity and excellent conformality, a unique capability. Therefore, this route is particularly suited for the structural modification and pore tailoring of synthetic membranes. ALD coatings have been prepared on a wide variety of membrane substrates, from inorganic templated supports to porous polymers. In this talk we aim to provide an extensive summary of the advances of ALD applied to membranes. A selected list of our studies will be used to illustrate how the ALD route can be implemented to improve the operational performance of different inorganic, organic, hybrid or composite membranes. We will show examples how ALD [1], could be used for the design of membranes in which the geometry can be tuned accurately and the dependence of the physical-chemical properties on the geometric parameters can be studied systematically in order to investigate their performances in renewable energy (gas separation [2,3] and osmotic energy harvesting [4]), environmental (water treatment [5], and sensors [6,7] as well as health applications.

Furthermore, the challenges and opportunities of the route for this specific membrane application are also discussed. This talk comprehensively shows the benefits of ALD and its application in various facets of membranes and membrane associated engineering processes, and will help exploiting the numerous prospects of this emerging and growing field.

  1. Chemistry of Materials 2018, 30, 7368-7390
  2. Journal of Membrane Science, 2015, 475, 39–46
  3. Journal of membrane Science, 2020, 596, 117701
  4. ACS Appl. Mater. Interfaces, 2017, 9, 16669–16678
  5. Separation and Purification Technology, 2023, 312, 123377
  6. Journal of Materials Chemistry A, 2019, 7, 8107-8116
  7. Sensors and Actuators B: Chemical, 2021, 344, 130302
9:00 AM TF+SE-FrM-3 Ultrathin Transferable MOF/Polymer Janus Thin Films with Tunable Turing Morphologies
Xinyu Luo, Junjie Zhao (Zhejiang University)

Janus thin films are known for their distinct asymmetric structures that bring unique properties for energy harvesting, mist collection and membrane separation applications. Decorating Janus thin films with Turing patterns could enlarge the surface area and generate stretchability. However, these delicate structures are particularly difficult to synthesized by conventional methods and not easily transferrable for integration of composites and devices. Here, we report a novel interfacial synthesis method using an atomic layer deposited (ALD) ZnO template and a nanoscale polymer topcoat for Janus thin films with the intricate Turing patterns. Through confining the reaction at the interface between the ALD ZnO layer and the polymer topcoat, a continuous micron-scale MOF film, acting as the Turing morphogen, was rapidly formed and anchored onto the bottom side of the polymer topcoat, thus leading to a Janus structure. We obtained Turing patterns in the MOF/polymer Janus thin films that agree well with the prediction by the Gray-Scott diffusion-reaction model. Our Turing Janus film remained flexible even with 96wt% MOF loading and can be transferred onto various substrates for membrane separation and sensing applications. Gas permeation tests confirm the absence of pinhole defects in the Turing Janus films transferred onto porous alumina support. Additionally, the Turing structures provide strechability to the Janus films that allows repeated bending on flexible electrodes for gas sensors. The reported Turing Janus film demonstrated a proof-of-concept handy transferable thin film product for versatile functions.

9:20 AM TF+SE-FrM-4 Growth of Metal-Organic Framework Thin Films by a Vapor-Assisted Conversion Method
Daniel Speed, Alankriti Bajpai, Greg Szulczewski (The University of Alabama)

Metal-organic frameworks (MOFs) are a class of highly porous materials that can be synthesized using a variety of inorganic nodes and organic linkers, which enable MOFs for applications in gas sensing, gas storage, and gas separations. In this talk, we will describe the synthesis of MOFs thin films via a hot vapor-assisted conversion method on a variety of substrates. In general, the precursor components to the MOF are dissolved in an appropriate organic solvent and transferred to the substrate as a small droplet. The reaction is initiated by heating the droplet in the presence of the hot vapor from the organic solvent. Specifically, we describe the results for UiO-66 and UiO-67 thin films and Co-MOF-74 and Ni-MOF-74 thin films. The thin films were characterized by x-ray diffraction, IR/Raman spectroscopy and scanning electron microscopy. The growth of UiO-67 is interesting. Quasi-octahedral crystals appear to grow from the surface, which is in contract to the other MOFs we studied. The role of precursor concentration appears to strongly effect the film growth mechanism. The porosity of the films was assessed by measurement adsorption isotherms using aromatic hydrocarbons as the probe molecules. In all cases the films show saturation adsorption uptake comparable to bulk samples. Overall, the vapor-assisted conversion method is a convenient way to grow thin films at low temperatures within a few hours.

9:40 AM TF+SE-FrM-5 Enhancing the Electrical and Optical Properties of Thermochromic VO2: The Impact of Nanostructuring and Gold Nanoparticles
Gregory Savorianakis, Stephanos Konstantinidis, Michel Voué (Université de Mons); Nicolas Martin (FEMTO-ST)

Monoclinic VO2 (m-VO2) exhibits a Metal-Insulator Transition (MIT) at approximately 67°C, making it a thermochromic material of interest. In this study, we initially show the optimization of magnetron sputtering using a vanadium target within an Ar/O2 mixture to synthesize films that are 200 nm thick and contain m-VO2 crystals. Our synthesis process involves precise control of the oxygen flow rate and subsequent annealing of the films in O2 for 120 minutes at 500°C.

In the first segment of our research, we validate our numerical findings obtained through the CAvity Modelling Framework (CAMFR) by comparing them to the optical properties of the synthesized films. Our simulations demonstrate how nanostructuring via ribbon-like structures can be adjusted to enhance film properties for potential applications in smart windows. By varying parameters such as the width of VO2 nano-ribbons, periodicity, and film thickness, we can achieve improved energy efficiency and a less opaque appearance compared to a dense film with the same thickness.

Secondly, we conducted experimental research where we combined m-VO2 films with gold nanoparticles (AuNPs) to achieve tunable plasmonic signals in response to temperature variations. Our study demonstrates the successful grafting of AuNPs onto the surface of the VO2 film using (3-aminopropyl) trimethoxysilane (APTMS) linkers. We observed a noticeable shift in the wavelength of the plasmonic peak, which was quantified as a function of temperature for two distinct platforms: one with NPs positioned on top of the VO2 film and another with NPs embedded within the film. Additionally, our investigations into resistivity and optical hysteresis revealed that the presence of AuNPs amplifies the resistivity drop by one order of magnitude and enhances the transmission drop by 15%. Furthermore, it reduces the critical temperature by 5°C and narrows the hysteresis width.

In a subsequent development, we have successfully synthesized thermochromic VO2 nanostructures, including tilted nanocolumns, zig-zags, and helices, utilizing the GLancing Angle Deposition (GLAD) technique. Our optical and ellipsometry analyses have revealed a significant anisotropy that correlates with the sample's rotation during measurement. This unique type of sample introduces a new dimension of control beyond temperature alone, allowing us to fine-tune its optical response. By combining both factors, we can achieve multi-dimensional tunability.

The here-mentioned work may pave the way towards the elaboration of thin film materials with high optical accordability which can potentially be used in applications as colour display, protection against counterfeiting, opto-electronics chips or energy-saving smart windows.

10:00 AM TF+SE-FrM-6 Atomic Layer Deposition of Sn-doped MoO2 Electrode Films with Distorted Rutile Structure for High-performance TiO2-based DRAM Capacitors
Jae Hyeon Lee, Jeong Hwan Han, Jeong Eun Shin, Wangu Kang (Seoul National University of Science and Technology)

Traditional DRAM capacitor electrodes, typified by TiN, confront performance deterioration attributed to their low work function and the formation of low-k TiOxNy interfacial layers. As device scaling progresses, these issues become increasingly critical, driving recent research efforts to explore alternative electrode materials to replace TiN. Molybdenum dioxide (MoO2) emerges as a promising candidate, boasting a low resistivity of 150 μΩ∙cm, a high work function (>5 eV), and excellent thermal stability, outperforming TiN. Furthermore, MoO2 exhibits a distorted rutile structure similar to rutile-TiO2 which is a next-generation DRAM capacitor high-k material, enabling the low-temperature growth of rutile-TiO2 on MoO2 bottom electrode. However, MoO2 deposition presents challenges due to its metastable nature compared to the stable phase molybdenum trioxide (MoO3).

In this study, we successfully fabricated distorted rutile MoO2 films through atomic layer deposition (ALD) by incorporating SnO into MoO3. The ALD process was performed using a super-cycle method consisting of the MoO3 ALD sub-cycles and SnO ALD sub-cycles. The optimal sub-cycle ratio of MoO3 to SnO was determined by varying the ratio from 100:1 to 100:5 After post-deposition annealing, MoO2 films with resistivity of 0.254 Ω∙cm and an RMS roughness of 0.48 nm were obtained. Finally, to assess the feasibility of Sn-doped MoO2 films as DRAM capacitor electrodes, metal-insulator-metal capacitors were fabricated using Sn-doped MoO2 as bottom electrodes. Through this, it was confirmed that ALD TiO2 film was crystallized into the rutile phase on the Sn-doped MoO2, and a high dielectric constant of 130 was obtained despite the relatively low TiO2 deposition temperature. Consequently, this study confirmed the viability of Sn-doped MoO2 as a promising DRAM capacitor electrode material.

10:20 AM BREAK
10:40 AM TF+SE-FrM-8 Area Selectivity and Crystallographic Orientation of Zif-8 Films Deposited by Molecular Layer Deposition
Jorid Smets, Víctor Rubio-Giménez (KU Leuven); Silvia Armini (IMEC); Rob Ameloot (KU Leuven)

Integrating metal-organic frameworks (MOFs) into electronic devices would benefit from controlled vapor-phase thin film deposition. In this study, we investigate the molecular layer deposition (MLD) of zeolitic imidazolate framework 8 (ZIF-8). Thin films were deposited on various substrates through consecutive self-limiting reactions of diethyl zinc, water, and 2-methyl imidazole, employing an all-vapor-phase process in an atomic layer deposition reactor. Two-step ZIF-8 MLD was utilized in this study, in which an amorphous layer is first deposited via MLD, followed by crystallization during a linker post-treatment step.[1] The degree of crystalline orientation of the resulting MOF layers can be tuned by changing the surface termination of the substrate. Moreover, these surface groups influence the mobility of the building blocks on the surface, allowing control over the surface coverage. We exploited this phenomenon to perform direct area-selective deposition of ZIF-8. The mechanisms behind these observations were elucidated using various imaging techniques, synchrotron GIXRD, and in-situ ellipsometry.

[1] Smets, J.; Cruz, A. J.; Rubio-Giménez, V.; Tietze, M. L.; Kravchenko, D. E.; Arnauts, G.; Matavž, A.; Wauteraerts, N.; Tu, M.; Marcoen, K.; Imaz, I.; Maspoch, D.; Korytov, M.; Vereecken, P. M.; De Feyter, S.; Hauffman, T.; Ameloot, R. Molecular Layer Deposition of Zeolitic Imidazolate Framework-8 Films. Chem. Mater. 2023, 35 (4), 1684–1690.

View Supplemental Document (pdf)
11:00 AM TF+SE-FrM-9 Electron-Beam Assisted Solvent-Free Bottom-Up Patterning of Zeolitic Imidazolate Frameworks
Dennis Lee, Yurun Miao (Johns Hopkins University); Matheus Dorneles de Mello (Brookhaven National Laboratory); Mueed Ahmad (Stony Brook University/Brookhaven National Laboratory); Mohammed Abdel-Rahman, Patrick Eckhert (Johns Hopkins University); Anibal Boscoboinik (Brookhaven National Laboratory); Howard Fairbrother, Michael Tsapatsis (Johns Hopkins University)

For many years, researchers have been trying to develop a way to create patterns in porous materials like metal-organic frameworks (MOFs) for use in electronic and optical devices.[1] Recent progress has been made in understanding how irradiation with X-rays[2] and electron beams (e-beams)[3,4] causes amorphization in MOFs and zeolitic imidazolate frameworks (ZIFs), a subclass of MOFs. Researchers have also found that certain types of ZIFs with halogen atoms on their structural linkers undergo a solubility switch when exposed to irradiation, allowing for selective removal of either the exposed or non-exposed regions to create ZIF patterns.[5]

In this presentation, I will describe our work on a bottom-up approach to patterning non-halogenated ZIFs using an e-beam-assisted solvent-free technique.[6] By pretreating metal oxide precursors (i.e., ZnO for ZIF-8 and CoOx for ZIF-67) with 2-methylimidazole (2mIm) linker vapor, we were able to sensitize the oxide surface to e-beam exposure, delaying the conversion of the oxides to ZIFs in irradiated areas while allowing growth in non-irradiated areas. This all-vapor phase technique resulted in well-defined patterns with features as small as 150 nm width and 150 nm gap, making it a promising method for micro and nanofabrication processes.

During the presentation, I will focus on our primary approach to enable the creation of ZIF patterns without solvents or masks. It will also be discussed in our systematic investigation of the 2mIm-sensitized oxide film, which involves the use of various characterization methods, such as atomic force microscopy, transmission electron microscopy, grazing incidence X-ray diffraction, and X-ray photoelectron spectroscopy.

References

[1] I. Stassen, N. Burtch, A. Talin, P. Falcaro, M. Allendorf, R. Ameloot, Chem. Soc. Rev.2017, 46, 3185.

[2] R. N. Widmer, G. I. Lampronti, N. Casati, S. Farsang, T. D. Bennett, S. A. T. Redfern, Phys. Chem. Chem. Phys.2019, 21, 12389.

[3] S. Conrad, P. Kumar, F. Xue, L. Ren, S. Henning, C. Xiao, K. A. Mkhoyan, M. Tsapatsis, Angew. Chemie Int. Ed.2018, 57, 13592.

[4] Y. Miao, M. Tsapatsis, Chem. Mater.2021, 33, 754.

[5] M. Tu, B. Xia, D. E. Kravchenko, M. L. Tietze, A. J. Cruz, I. Stassen, T. Hauffman, J. Teyssandier, S. De Feyter, Z. Wang, R. A. Fischer, B. Marmiroli, H. Amenitsch, A. Torvisco, M. de J. Velásquez-Hernández, P. Falcaro, R. Ameloot, Nat. Mater.2021, 20, 93.

[6] Y. Miao, D. T. Lee, M. D. de Mello, M. Ahmad, M. K. Abdel-Rahman, P. M. Eckhert, J. A. Boscoboinik, D. H. Fairbrother, M. Tsapatsis, Nat. Commun.2022, 13, 420.
11:20 AM TF+SE-FrM-10 Al2O3 Atomic Layer Deposition on a Porous Matrix of Carbon Fibers (FiberForm) for Oxidation Resistance
Jack Widmer, Steven George (University of Colorado Boulder)
Atomic layer deposition (ALD) was used to coat a porous matrix of carbon fibers known as FiberForm with Al2O3 to improve oxidation resistance. Static trimethylaluminum (TMA) and H2O exposures for Al2O3 ALD were used to obtain the uniform coating of this high porosity material. The carbon surfaces were initially functionalized for Al2O3 ALD by exposure to sequential exposures of nitrogen dioxide and TMA. A gravimetric model was developed to predict the mass gain per cycle under conditions when the ALD reactions reach saturation during each reactant exposure. The uniformity of the Al2O3 ALD coating on FiberForm was confirmed by scanning electron microscopy (SEM) and energy dispersive X-ray spectroscopy (EDS) analysis. The SEM, EDS and gravimetric model were all consistent with a uniform Al2O3 ALD coating on the porous carbon fiber network when the ALD reactions reach saturation on the entire surface area. In contrast, the profile of the Al2O3 ALD coating on the FiberForm was also characterized using undersaturation conditions when the ALD reactions do not reach saturation throughout the FiberForm sample. These Al2O3 coverage profiles were consistent with diffusion-limited Al2O3 ALD. The oxidation of the FiberForm and the Al2O3 ALD-coated FiberForm was also investigated by thermogravimetric analysis (TGA). TGA revealed that a 50 nm thick Al2O3 coating deposited using 400 Al2O3 ALD cycles enhanced the oxidation resistance. The Al2O3 ALD coating increased the oxidation onset temperature by ~200 ˚C from 500 °C to 700 °C and decreased the oxidation rate by ~30%. The oxidation rate of the Al2O3 ALD-coated FiberForm samples was also constant and independent of the thickness of the Al2O3 ALD coating. This behavior suggested that the oxidation is dependent on the competing O2 diffusion into the FiberForm and CO2 diffusion out of the FiberForm.
11:40 AM TF+SE-FrM-11 Mesoporous UiO-66-NH2 Thin Film Growth on TiO2 Coated Fabrics Using Atomic Layer Deposition (ALD) for Enhanced Organophosphate Degradation
Mai Abdelmigeed (North Carolina State University)

Nowadays, most of the UiO-66-NH2 research focuses on the capabilities of the microporous UiO-66-NH2-fabric composites for organophosphate degradation via hydrolysis.Unfortunately, microporous UiO-66-NH2 suffers from diffusion limitation of the bulky organophosphates accessing the active sites. As a novel solution, we are introducing the aqueous phase synthesized mesoporous UiO-66-NH2 thin film on fabric coated with »20 nm TiO2 using ALD. The mesoporous version of UiO-66-NH2 overcomes the mass transfer limitation issues while the TiO2 layer works as nucleation centers to form a dense, robust, and homogeneous MOF thin films. The mesoporosity of the solvothermally synthesized UiO-66-NH2-fabric composites is mainly due to the utilization of an amphoteric surfactant, CAPB, as a template to construct these mesochannels.[1] Fig.(1,a) shows the benign MOF synthesis process avoiding the common toxic solvents and highly acidic medium at elevated temperatures. Importantly, Fig.(1,b) shows the pore size distribution of mesoporous UiO-66-NH2 has both characteristic pore width peaks corresponding to the microporous range and a new peak at »28 Å corresponding to the mesoporous range. The benign synthesis approach allows mesoporous UiO-66-NH2 growth on a range of fabrics. Fig.(1,c) shows a MOF thin film on PP coated with TiO2 using atomic layer deposition that achieves BET SA up to »360m2/gcomp. Fig.(1,d) shows that these mesoporous UiO-66-NH2 compositeenhanced the paraoxon methyl (DMNP) degradation with a half-life time of less than a minute compared to a half-life time of 2.5 minutes for microporousUiO-66-NH2. Similar trends were found for live nerve agent degradation. To conclude, the benign synthesis process of the mesoporous UiO-66-NH2 thin film improves the growth of this MOF on a large range of fabrics and enhances the organophosphates degradation, respectively. These thin film MOF-fabric composites have great potential in filtration, protection, and catalysis applications.

[1] K. Li, S. Lin, Y. Li, Q. Zhuaang, J. Gu, Angewandte Chemie - Int. Ed. 57 (2018) 3439–3443.

View Supplemental Document (pdf)
Session Abstract Book
(316KB, Nov 2, 2023)
Time Period FrM Sessions | Abstract Timeline | Topic TF Sessions | Time Periods | Topics | AVS 69 Schedule