AVS 69 Session PS+NS-FrM: Advanced Patterning and Plasma-Engineered Materials

Friday, November 10, 2023 8:20 AM in Room A107-109

Friday Morning

Session Abstract Book
(307KB, Nov 2, 2023)
Time Period FrM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS 69 Schedule

Start Invited? Item
8:20 AM PS+NS-FrM-1 EUV Lithography Patterning towards Devices Nano Scaling
Danilo De Simone (IMEC, Belgium)

Nowadays, the device scaling driven by the Moore’s law is continuing by the deployment of the 0.33NA extreme ultraviolet lithography (EUVL) in high volume manufacturing further driven by the need to improve cycle time and cost. To further simplify and improve EUV patterning reducing cost and enable 2nm technology and below, high NA EUV lithography (0.55NA) is under development. At the same time, as the nanoscale is pushed further down, the stochastic nature of the patterning process and the thinning down of the films become the major patterning roadblocks. To enable the high NA technology, new knobs and faster learning cycles on patterning process development are needed to improve the process window. This presentation will show the latest development on EUV patterning materials and their challenges and provide an insight status of overcoming these obstacles towards the devices scaling at nanometric level.

9:00 AM PS+NS-FrM-3 Break Healing and LER Mitigation for Low Dose EUV Exposure
Rémi Vallat, Philippe Bézard, Bhavisha Chowrira (IMEC); Atefeh Fathzadeh, Wafae Halim (KU Leuven); Frédéric Lazzarino, Kurt Ronse (IMEC)

Challenges introduced with High NA EUV lithography will be defectivity management with ultra-thin resists while using low EUV dose1. Reducing the density of bridges and breaks is thus a major point of focus for its introduction2. Ultra-thin resists, at low EUV dose, may come with high bridge/ break density (positive/ negative-tone resist, respectively). In the case of bridges, a descum step is traditionally introduced, which creates breaks instead (in ultra-thin resists) and further reduces the resist budget for underlayer patterning. Therefore, recovering breaks is a strategic capability for defect reduction.

The proposed way to recover breaks is to use non-conformal PECVD deposition on top of spin-on-glass (SoG). Since, the resist budget is going to be ultra-thin, the underlayer beneath will also have to be ultra-thin, leading to its failing as an hard-mask (break creation) during transfer into an amorphous carbon layer. Depositing extra Silicon-based polymers on top of the ultra-thin underlayer addresses that issue, by increasing the hard-mask etch budget. However, the polymer must be deposited on SoG, selectively to amorphous carbon to prevent the formation of an etch-blocking layer. This approach is presented in figure 1.

The selectivity of deposition is successfully developed, and results are shown in figure 2. The underlayer budget is clearly increased using PECVD. Also, the reduction in break density is demonstrated in figure 3 using a radical ion etching and/or quasi-atomic layer etch into aC, designed to maximize the break density in order to easily observe any improvement brought by the PECVD. The line-edge roughness is improved as well, due to the reduced contribution of breaks.

[1] L. Meli et al,Proc. SPIE 11609, 116090P (2021)

[2] P. De Bisschop, J. Micro/Nanolithogr. MEMS MOEMS 16, 041013 (2017)

View Supplemental Document (pdf)
9:20 AM PS+NS-FrM-4 Carbon Resist Microlens Etching in DF-CCP CF4 Plasmas: Comparison between Modeling and Experiments
Pierre Ducluzaux (Univ. Grenoble Alpes, CNRS, LTM / STMicroelectronics); Delia Ristoiu (STMicroelectronics); Gilles Cunge, Emilie Despiau-Pujo (Univ. Grenoble Alpes, CNRS, LTM)

Over the past decade, the development of image sensors used in smartphones has focused on reducing pixel size to improve photography resolution. Microlenses are a key component of these sensors, as they focus the incident light on the photodiode, enhancing the sensor's quantum efficiency. However, the manufacture of resist microlenses requires an etching step in low-pressure fluorocarbon plasmas, in which complex chemico-physical reactions can lead to a final 3D shape that is difficult to control.

In this paper, we propose to investigate numerically the influence of tunable operating conditions (RF power, pressure, etc.) on the etching of carbon resist microlenses in CF4 plasmas, to better understand the link between process parameters, plasma properties and the final microlens shape. Using a 2D hybrid model (Hybrid Plasma Equipment Model), we simulate the CF4 plasma gas-phase in a dual-frequency capacitively coupled plasma reactor. We then use the plasma properties obtained from this simulation (densities, fluxes, and energies of charged and neutral species) as entry parameters for an etching profile model (Monte Carlo feature profile module). First, we investigate numerically and experimentally (ellipsometry, TOF-SIMS) the impact of pressure (30-200 mT) and RF powers (100-1500 W) on the etch rate and on the cross-sectional structure (chemical composition, reactive layer thickness) of resist blanket wafers. Then, we analyze the impact of these parameters on the etching of spherical resist microlenses, comparing the simulated 3D final shape with experimental profiles (AFM, SEM) obtained in an industrial reactor.

Our results show that the low-frequency (13.56 MHz) power increases the F penetration in the resist due to an increase in the ion energy, while the high-frequency (40 MHz) power increases the etch rate due to an increase in the ion flux. The impact of operating conditions on the microlens profiles will be discussed in details during the presentation and provide insights into the chemico-physical mechanisms involved in carbon resist etching.

9:40 AM PS+NS-FrM-5 Investigations of Surface Reaction Mechanisms in Euv Induced Hydrogen Plasmas
Tugba Piskin (University of Michigan); Vladimir Volynets, Sang Ki Nam, Hyunjae Lee (Samsung Electronics Co., Inc.); Mark J. Kushner (University of Michigan)

The deployment of extreme ultraviolet lithography (EUVL) is enabling a few nm critical sizes in microelectronic processing.In one implementation, the EUV photon beams are produced by ablating and ionizing tin droplets with a pulsed laser.The ionized tin species emit photons with 13.5 nm wavelength—where these photons are collected by reflective optics and transferred to the scanner unit.The collector mirror is exposed to the tin plasma, which potentially results in a decrease in reflectivity and lifetime.Pumping H2 through the EUV chamber can help resolve this problem as an in-situ cleaning technique of deposited tin on the mirror. The hydrogen slows down fast tin radicals by reacting with them in the gas phase and etching. Photoionization of the H2 by the EUV produces a plasma which etches the deposited tin on the mirror by producing stannane.

EUV photons with 92 eV energy are capable of photo-dissociating and ionizing hydrogen gas while producing energetic electrons and H atoms. Ions, energetic electrons, and photons in turn produce secondary electron emission from surfaces, the latter of which can also produce energetic electrons.In this work, we computationally investigate the etch rate of tin and the redeposition of stannane in a generic EUV lithography tool by the EUV produced hydrogen plasma using a modified version of the Hybrid Plasma Equipment Model (HPEM).The consequences of secondary electron emission from the surfaces by electrons and photons will be discussed.The fluxes of hydrogen radicals and ions to the collector mirror are the most significant factor for the in-situ cleaning. The energy and angular distributions and fluxes to the collector mirror of hydrogen radicals and ions for pressures of a few to hundreds of Torr and hundreds of Watts EUV power will be discussed.

Work supported by Samsung Electronics and the US National Science Foundation.

10:00 AM PS+NS-FrM-6 Area Selective Processing Based on Physisorption to Improve Functions of Extreme Ultraviolet Resist
Van Long Nguyen, Nicolas Maldonado, Gregory Denbeaux, Christophe Vallee (SUNY Polytechnic Institute, Albany)

In semiconductor manufacturing, ~10 nm critical dimensions are already in production by using EUV lithography [1]. The smaller critical dimensions require better line edge/width roughness (LER/LWR) or local critical dimension uniformity (LCDU) of EUV resists to ensure the quality of patterning features, as well as device performance. Furthermore, ultrathin EUV resists (20- to 40-nm) are currently utilized to minimize the risk of pattern collapse, which however results in poor etching selectivity to underlying layers for post-lithographic pattern transfer. Recently, employing area selective deposition as a post-treatment method on the EUV resist patterns has been reported as a promising strategy to improve the function of EUV resists [2]. In this research, we tried to improve the local roughness and thickness of the EUV resist by developing an area selective (AS) processing including both deposition and infiltration based on the physisorption mechanism, instead of the conventional chemisorption mechanism. We achieved AS physisorption based on the difference in Van der Waals interactions of surfaces/bulks with a specific gas molecule. The interaction of physisorbed molecules with samples is calculated based on a classical model. SO2 gas was chosen based on the theoretical calculation to test its selective physisorption capability to the Sn-based resist versus SiO2. After SO2 exposure, we observed a significant thickness increase in the case of Sn-based resist (Figure S1a) but not in the SiO2 (Figure S1b), as shown in the supplemental document. The observed thickness increase suggested SO2 could both selectively physisorbed onto the surface and into the bulk of the resist due to its porosity. These selective physisorbed molecules onto the surface and into the bulk of the resist are then converted to chemisorbed molecules by a dissociation process using energetic Ar plasma to complete a cycle of AS deposition and AS infiltration, respectively. Ellipsometry, angle-resolved X-ray photoelectron spectroscopy, and atomic force microscopy are employed as key techniques to characterize changes during and after the AS processing. This work is funded by Semiconductor Research Corporation (SRC).

[1] https://irds.ieee.org/editions/2022/executive-summary for International Roadmap for Devices and Systems (IRDS), 2022 Edition.

[2] Nye, Rachel A., et al. "Enhancing Performance and Function of Polymethacrylate Extreme Ultraviolet Resists Using Area-Selective Deposition." Chemistry of Materials 35.5 (2023): 2016-2026.

View Supplemental Document (pdf)
10:20 AM BREAK
10:40 AM PS+NS-FrM-8 Recent Advances in Ga2O3 Material Development at AFRL
Shin Mou, Thaddeus Asel, Adam Neal, Yunjo Kim, Brenton Noesges, Adam Charnas, Jian Li, Tyson Back, Katherine Burzynski, Benjamin Newton, Andrew Green, John Blevins (Air Force Research Laboratory, Materials and Manufacturing Directorate, USA)

Ga2O3 has been of interest due to its critical electric field (8 MV/cm)[1], its ability for native substrates to be grown from the melt, and the ability to controllably dope thin films of Ga2O3 via growth methods such as molecular beam epitaxy (MBE) and metal-organic chemical vapor deposition (MOCVD). All of these properties make Ga2O3 an attractive candidate for high power electronic applications and the ability to grow substrates from the melt make it economically feasible in this space when compared to other materials such as GaN and SiC. The Air Force Research Lab (AFRL) has invested into substrate development with Northrup Grumman Synoptics to provide a domestic source of substrates. Czochralski grown (010) Fe and Mg doped Ga2O3 has been demonstrated up to 2 inch wafers by Northrup Grumman Synoptics and challenges in surface polishing have been overcome by using a 2 step process that removes subsurface damage and produces a surface that is ready for epitaxial growth. AFRL’s in house research on epitaxial films via plasma assisted MBE has identified sources of unintentional doping during the growth of Ga2O3. It was demonstrated that both the oxidation of the Si doping source material and the quartz bulb used to provide the oxygen plasma during growth were contributing significant amounts of Si in the grown films. By adjusting the plasma power to reduce the etching of the quartz plasma bulb we were able to demonstrate epitaxial films with unintentional doping levels <1 × 1016 cm-3. Control of the intentional Si doping was achieved in collaboration with Cornell University by modifying the Si doping source to include a “showerhead” to reduce the effect of the oxidation of the Si source material[2, allowing control from 8 × 1016 cm-3 to 1 × 1019 cm-3. Additional thin film development was done in collaboration with Agnitron on MOCVD grown Ga2O3 films. High purity Ga2O3 films were demonstrated using trimethylgallium as the source for gallium during the growth. The MOCVD grown films’ mobility and carrier concentration were measured via temperature dependent Hall Effect at AFRL and exhibited a record electron mobility of 23,400 cm2/Vs at 32 K, with a low acceptor concentration of 2 × 1013 cm-3, further demonstrating the purity of the films grown via this method[3]. These results demonstrate the investment that AFRL has in Ga2O3 and present the contributions that AFRL has made to the development to Ga2O3 material system.


[1] M. Higashiwaki et al. Appl. Phys. Lett. 100, 013504 (2012).

[2] J.P. McCandless et al. Appl. Phys. Lett. 121, 072108 (2022).

[3] G. Seryogin et al. Appl. Phys. Lett. 117, 262101 (2020).

11:20 AM PS+NS-FrM-10 Reverse Lift-Off Process to Avoid Sidewall Artifacts Resulting from Dry Etching “Challenging” Materials
David Lishan (Plasma-Therm, LLC); Vincent Genova (Cornell University); Samantha Norris (Axoft); Kyle Dorsey (Physical Sciences, Inc.); Sabrina Rosa-Ortiz (Plasma-Therm LLC)

This work explores a process to avoid sidewall redeposition issues when dry etching materials that do not readily form volatile and desorbing etching byproducts under common operating temperatures. Common dry patterning approaches for these challenging thin films use methods relying on physical mechanisms (i.e., sputtering with accelerated ions) and often result in material being redeposited on the sidewalls of the masking material. Upon removing the mask, the resputtered sidewall material remains and forms features projecting above the material. These features, sometimes referred to as rabbit or dog ears, fences, and veils, may fall over, break and cause particles, or penetrate over layers. We present an etching approach that avoids these issues. Materials such as Pt, Au, Ni, LiNbO3, Cu, Ni, Fe, Mn, Co, Mn, PZT, ScAlN, and perovskites fall in the category of “challenging” to etch materials and impact applications such as MRAM, PiezoMEMS, quantum devices and photonics.

Lift-off patterning requires line-of-sight deposition which is typically an evaporative process that constrains thermal budgets and materials that can be deposited. We discuss a technique derived from this well-known additive method of patterning thin metal layers but using a subtractive approach. In this “reverse -lift” off approach, a layered structure with an undercut in a sacrificial layer is also used. Following etching, the material that was sputter etched and redeposited on sidewalls is removed along with the sacrificial layer. Unwanted redeposition is avoided with the proper choice of sacrificial layer material, mask, and undercut structured or with familiar lift-off resist patterning (LOR). Importantly, the dry etching can be done in common parallel plate RIE and ICP configurations and does not require an ion gun source, thus making the method more accessible.

Results demonstrate physically etching a difficult material without the consequences of resputtered material. Pt was used as a test vehicle with film thicknesses up to 200 nm. Positive results were obtained with LOR patterning, with SiO2 as the mask with a Si sacrificial layer, and with a Cr sacrificial layer. Both IBE and ICP configurations were used with similar results showing that the expected feature dimensions were maintained without the profile changes typically observed with tilt and rotation IBE. Modeling considered feature spacing, resputter distribution (cosine ejection), and incident ion angles to better understand the limits and dimensions of the lift-off structure. This work offers a process technique that can solve the issue of dog ears when plasma etching low or nonvolatile materials.

View Supplemental Document (pdf)
11:40 AM PS+NS-FrM-11 Control of Ge/Si Core/Shell Nanoparticles Growth In Pulsed Nonthermal Plasmas
Yifan Gui, Jordyn Polito, Mark J. Kushner (University of Michigan)

Core/Shell nanoparticles(CSNPs) are a type of nanomaterial that has the characteristic structure of a core and an outer shell composed of distinct materials. CSNPs have received increasing attention over the past decade due to their tunable optical properties and wide applicability in the biomedicine, semiconductor and catalyst fields. The major challenges in synthesizing CSNPs with consistent specifications lie in the variation of size uniformity, core and shell purity as a result of diverse operating conditions. While continuous-wave nonthermal plasma approaches for synthesis of CSNPs have enabled crystalline growth at low reactor temperatures, pulsing the plasma could give an edge in CSNPs production by addressing these issues. Both computational and experimental prior works have demonstrated the capability of pulsed nonthermal plasma in synthesizing nanoparticles with improved size uniformity1,2.

The aim of this work is to computationally investigate the consequences of pulsed power nonthermal plasmas on size uniformity and core/shell purity of Si/Ge CSNPs using the Hybrid Plasma Equipment Model (HPEM) coupled with DTS Dust Transport Simulation Module (DTS). The test system is an inductively coupled plasma (ICP) having two plasma sources intended to enhance CSNP size uniformity while utilizing separate core and shell synthesis zones for better core/shell purity control. CSNPs are produced under operating conditions of a few Torr, 10 W of ICP power with pulse period of 50 µs, with Ar/GeH4 and Ar/SiH­4 gas mixtures flowing from top and middle inlets. The consequences of process parameters such as pulse period, duty cycle and ICP power, and the corresponding impacts on CSNP properties will be discussed and compared to CSNP properties resulting from continuous-wave operation.

Work supported by Army Research Office MURI Grant W911NF-18-1-0240, the National Science Foundation (PHY-2009219), and the Department of Energy Office of Fusion Energy Science (No.DE-SC0020232).

1S. J. Lanham, et al. Journal of Applied Physics 132, 073301 (2022)

2J. Schwan, B. Wagner, et al. J. Phys. D: Appl. Phys. 55, 094002 (2022)

Session Abstract Book
(307KB, Nov 2, 2023)
Time Period FrM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS 69 Schedule