AVS2003 Session PS-TuA: Dielectric Etch

Tuesday, November 4, 2003 2:00 PM in Room 315

Tuesday Afternoon

Time Period TuA Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2003 Schedule

Start Invited? Item
2:00 PM PS-TuA-1 Dielectric Etch: Past, Present and Future
T.J. Dalton (IBM Research)
Dielectric etch has grown in importance during the last decade with the emergence of single- and dual-damascene processing for semiconductor interconnect structures utilizing copper metallurgy, first in research and development and now in high-volume manufacturing. At the same time, the challenges of dielectric etch for semiconductor processing have changed significantly due to two factors. First, the materials of interest have evolved from silicon dioxide to "dense" low dielectric constant ("low-k") materials (both organic and silicate) to porous low-k materials (again, both organic and silicate). Second, the critical dimension (CD) has decreased to the sub 100-nm regime, forcing extreme control of feature sizes and sidewall profiles. This talk will focus on the evolution of dielectric etch for advanced logic integrated circuit fabrication in the last decade, specifically discussing dielectric materials, etch processes, and etching sources. Additionally, we will look ahead to issues with dielectric etch in the future.
2:40 PM PS-TuA-3 Depth Dependent Spatial Frequency Analysis of Post-Etch Sidewall Roughness
S.A. Rasgon, H.H. Sawin (Massachusetts Institute of Technology); A.P. Mahorowala, D. Goldfarb, M. Angelopoulos (IBM T.J. Watson Research Center); S.D. Allen (IBM Microelectronics Division)
For the patterning of sub-100 nm features, a clear understanding of the origin and control of line edge roughness (LER) is extremely desirable. Until recently, LER studies have focused on the analysis of top-down SEM micrographs of post-developed photoresist lines. However, plasma etching processes often roughen the feature sidewalls and might form striations. This post-etch substrate LER is probably more relevant from a manufacturing perspective than the post-developed LER. The depth dependence of the post-etch sidewall morphology cannot be captured adequately by top down SEM techniques. A novel atomic force microscopy (AFM) technique developed by Reynolds and Taylor (JVST B 17(2), p. 334-344, 1999) was used to examine sidewall roughness (SWR) transfer through photoresist, BARC/hardmask, and oxide layers simultaneously. Dense line-and-space structures were cleaved parallel to the line patterns, and turned 90 degrees to access the exposed sidewall with the AFM tip. The images vividly highlight the resulting SWR structure, allowing one to observe roughness transfer through materials and determine any potential correlations. This paper studies the effect of etch chemistry, BARC/hardmask material, and resist thickness/type on the morphology and structure of SWR striation spatial frequency and spatial correlation, under conditions typically encountered during oxide etch processes. Quantitative data on RMS roughness and striation spatial frequency as a function of feature depth is collected using AFM-based techniques. Power spectral density (PSD) and correlation analysis of the sidewall AFM images allows us to track the evolution of sidewall striations through the various feature layers after each process step (lithography, BARC/hardmask open, and oxide etch). Finally, the impact of these sidewall striations on future processing steps (for instance, conformal liner deposition) is discussed.
3:00 PM PS-TuA-4 Investigation of Bottom-emitted Particles and their Influence on the Etch Characteristics of Sidewall in the Fluorocarbon Plasma Etching
G.-R. Lee, J.-H. Min, J.-K. Lee, S.H. Moon (Seoul National University, Korea)
When energetic ions impinge on the bottom of an etched pattern, various particles are emitted from the bottom surface, which constitute an additional source for modifying the composition of plasma gases besides collisions among gas-phase particles generated in a plasma. The effect of bottom-emitted particles on the composition of gas-phase radicals increases with a decrease in the distance from the bottom surface and, accordingly, the etch characteristics of sidewalls in proximity to the bottom of an etched pattern is strongly affected by the bottom-emitted particles. However, information about the bottom-emitted particles, including species and amount of the particles and their influence on the etch characteristics of sidewall, is limited largely due to the lack of experimental methods for observing the phenomenon in a larger scale. In this study, we have analyzed particles emitted from different bottom materials using mass spectrometry at various bias voltages. We also examined the effect of the bottom-emitted particles on the etch characteristics of a SiO2 surface located vertically and in proximity to the bottom surface. Ions of high energy sputter the steady-state fluorocarbon polymer layer covering the bottom to generate heavy and unsaturated fluorocarbon radicals, which contribute to the etch characteristics of the sidewall. As a result, the effect of bottom-emitted particles on the sidewall etching is profound under the conditions of high bias voltages and those allowing the formation of a thick steady-state polymer layer on the bottom surface.
3:20 PM PS-TuA-5 Bilayer Mask Process for sub-90 nm Patterning using a New 100MHz CCP RIE
H. Hayashi, J. Abe, A. Kojima, J. Nishiwaki, A. Takase, K. Sho, E. Shiobara, I. Sakai, E. Shinomiya, T. Ohiwa (TOSHIBA Corporation Semiconductor Company, Japan)
Shrinkage of LSI design rule, especially to sub-90 nm nodes, necessitates the reduction of photoresist thickness to maintain the process window in deep UV lithography. However, thinning the resist layer leads to critical dimension (CD) loss in the subsequent etch process due to resist erosion. A bilayer mask process, in which patterns formed at the Si-containing resist layer are transferred to spun-on-carbon film having anti-reflective property, is a promising candidate for sub-90 nm patterning. However, this process can be used only if carbon film etching with high selectivity to the thin Si-containing ArF resist is realized. In this paper, a new reactive ion etching (RIE) employing 100 MHz capacitive coupled plasma (CCP) where the wafer is placed on the cathode, is proposed. By introducing the high frequency of 100 MHz, low ion energy and high selectivity could be expected. Self-bias voltages (Vdc) generated in the 100 MHz Ar plasma at 40 mTorr were 20 to 90 V depending on RF power, less than 1/3 those of the 13.56 MHz plasma which were 290 to 540 V under the same conditions. As a result, the carbon film etch process using the 100 MHz plasma in hydrogen based gas chemistry showed great improvement of selectivity, to more than 8. Etch rates of the carbon film were 200 to 300 nm/min at the pressures of 5 to 100 mTorr. Finally, the etch profile of a pattern with a resist thickness of 150 nm and carbon 300 nm thick was examined, and it was found that a vertical carbon etch profile was obtained, with less faceting of the Si-containing resist mask. The ArF bilayer mask process combined with the new 100 MHz CCP proves to be the most effective patterning process for devices of 90 nm and below.
3:40 PM PS-TuA-6 Etching Bilayer Resists in Ammonia Based Plasmas
S. Panda, R. Wise, A.P. Mahorowala (IBM)
Bilayer resist schemes (thin, silicon containing image layer over thick, organic transfer layer) can mitigate lithographic limitations associated with the smaller wavelengths and higher NA values required for patterning shrinking feature sizes. State of the art transfer etch processes utilize an oxygen based chemistry to oxidize Si in the image layer while etching the transfer layer beneath. Undercutting of the image layer, poor CD control, and LER can result from the use of oxidizing chemistry, and are exacerbated by more sensitive ArF materials. Commonly used SO2 addition (for sidewall passivation) can form undesirable byproducts leading to both tool and line contamination. In the present study we present an oxygen-free ammonia based transfer etch process. In addition to NH3; N2, H2, and C2H4 were examined as additives. It is hypothesized that selectivity to the underlayer arises from nitridation of the silicon containing image layer which becomes resistant to etch. XPS analyses of the etched samples, optical emission and mass spectroscopic studies were performed to understand the mechanisms involved.
4:00 PM PS-TuA-7 Model for Dielectric Etching in C4F6 Based Inductively Coupled and Dual Frequency Plasmas
S. Rauf, P.J. Stout, P. Ventzek (Motorola Semiconductor Products Sector); S. Adamson, A. Dementev, K. Novoselov, V. Kudrja (Soft-Tec, Moscow, Russia)
1,3 Perfluorobutadiene (C4F6) has recently received much attention in the microelectronics industry for etching of conventional and low-κ dielectrics. This consideration is motivated in part due to the environmentally benign nature of C4F6, and its desirable etching and polymerizing characteristics. This paper describes a model for etching of SiO2 and Si in medium and high density Ar/C4F6/O2 plasmas. The plasma chemical mechanism for C4F6 is assembled using measured dissociative ionization and attachment cross-sections, and first principle based computations of C4F6 neutral dissociation kinetics and cross-sections. The plasma surface interaction mechanism has been constructed empirically by correlating computed species characteristics with experimentally measured etching and deposition rates. Computational modeling of the plasma and surface processes is conducted using a combination of 2-dimensional plasma equipment models (HPEM1 from University of Illinois and IO)2 and feature scale simulations (BabyBean3 and Papaya).4 The paper discusses experimental validation of the model in two disparate plasma operating regimes, ICP5 and dual frequency.6 The model is also used to understand the differences in plasma chemistry of medium and high density C4F6 based plasmas, and how these differences impact dielectric etching characteristics.


1P. L. G. Ventzek et al., J. Vac. Sci. Technol. B 12, 461 (1994)
2S. Rauf, to appear in IEEE Trans. Plasma Sci. (Aug. 2003)
3S. Rauf et al., J. Vac. Sci. Technol. A 20, 1177 (2002)
4P. J. Stout et al., J. Vac. Sci. Technol. A 21, 265 (2003)
5X. Li et al., J. Vac. Sci. Technol. A 20, 2052 (2002)
6F. Fracassi et al., J. Vac. Sci. Technol. A 21, 638 (2003).

4:20 PM PS-TuA-8 A Computational Investigation of Plasma and Surface Chemistry During Fluorocarbon Plasma Etching of SiO2 in Ar/c-C4F8/O2/CO Magnetically Enhanced Capacitively and Inductively Coupled Plasmas1
A.V. Vasenkov, M.J. Kushner (University of Illinois at Urbana-Champaign)
Gas mixtures containing Ar, c-C4F8, O2, O2, and CO are often used for the plasma etching of silicon dioxide in order to optimize the fluxes of etching, polymerizing and activating species to the substrate. Reaction mechanisms, both gas phase and surface, are required for first principle modeling of these systems to both provide insights to the plasma chemistry and to help optimize the process. In this paper, we describe a refined gas-phase reaction mechanism for low-pressure and low-temperature plasmas sustained in mixtures initially consisting of Ar/c-C4F8/O2/CO/N2 or any combination, and its application to a computational investigation of plasma properties in magnetically enhanced inductively coupled plasmas (MEICPs) and magnetically enhanced capacitively coupled plasmas (MECCPs, or MERIEs). The systemmatic dependence of ion and radical fluxes, and ion energy distributions on gas mixtures, power and pressure will be discussed. Comparisons will be made between MEICPs and MECCPs. Predictions for ion saturation current were compared to experiments MEICPs sustained in Ar/c-C4F8 and O2/c-C4F8 for validation. Principle differences between MEICPs and MERIES is the average molecular weight of both the radicals and ions, being higher in MERIES.


1
1 Work supported by Semiconductor Research Corporation, SEMATECH and the National Science Foundation.

4:40 PM PS-TuA-9 Kinetic Study on SiO2 Dry Etching Process by Chemical Reaction Engineering Approach
T. Tokimitsu, Y. Shimogaki (University of Tokyo, Japan)
Kinetic study on plasma process to etch SiO2 films using C4F8 was made by chemical reaction engineering approach. In the present study, we assumed that the reactor as a CSTR (continuously stirred tank reactor) and examined the residence time dependency of gaseous species concentration. This approach is quite effective to elucidate the reaction mechanism that governs the performance of plasma reactor. Ionization voltage controlled AMS (appearance mass spectrometry) was conducted and it was found to be possible to measure the absolute concentration of each molecule. The residence time dependency of C4F8, C2F4 and C2F6 concentrations were measured by this technique. It was found that the main species in plasma changed from C4F8 to C2F4, and finally changed into C2F6 as residence time gets longer. C2F4, whose residence time dependency had a bowed profile, was the species to deposit a-C:F films and to prevent SiO2 etching. O2 addition to this plasma chemistry accelerate the decomposition of C4F8 and suppresses the C2F4 concentration. The residence time dependency of etching profiles were examined and discussed. Moreover, to investigate the surface reaction of SiO2 etching, test structure was employed to make detail analysis through feature scale study. The gas phase analysis made by AMS method and the measurement of residence time dependency of each species concentration combined with feature scale analysis were sensitive tool to understand the major reaction path.
5:00 PM PS-TuA-10 Selective Silicon Nitride Etching by ECR Plasmas Using SF6 and NF3 Based Gas Mixtures
C. Reyes-Betanzo (INAOE- Instituto Nacional de Astrofisica, Mexico); S.A. Moshkalyov, A.C.S. Ramos, J.W. Swart (UNICAMP, Brazil)
Removal of silicon nitride films is critical step in CMOS and other semiconductor technologies as possible overetch during the nitride layer processing may result in damages of a thin oxide or a silicon substrate. Hence high nitride etching selectivity over oxide and Si is required. In recent chemical dry etching experiments, mixtures rich in oxygen and nitrogen with small additions of fluorine containing gases were shown to etch the nitride selectively. The role of NO molecules in the surface chemistry was shown to be important for improvement of the etching selectivity. This is attributed to an exothermic reaction of NO molecules with surface nitrogen atoms which promotes the enhanced removal of nitrogen (in a molecular form) from the nitride surface and thus accelerates the overall reaction rate. Here, the results of a study of silicon nitride, oxide and Si etching in SF6 and NF3 based mixtures using a high-density ECR plasma are presented. For the two fluorine containing gases used, the main mechanisms responsible for selective nitride etching are distinctly different. In the SF6 case, best results are achieved in O2/N2 rich plasmas where the nitride etching by fluorine can be enhanced by NO molecules produced in gas phase reactions. Formation of NO molecules was observed in spectra emitted from the plasma. In NF3 based mixtures, the nitride etching is more likely to be dominated by NFx reaction intermediates rather than by NO radicals. Higher selectivities over oxide (up to 100) were obtained with NF3 , while higher selectivities over Si (up to 10) were obtained with SF6 based mixtures.
Time Period TuA Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2003 Schedule