AVS 71 Session PS-ThP: Plasma Science and Technology Poster Session
Session Abstract Book
(436 KB, Jun 15, 2025)
Time Period ThP Sessions
| Topic PS Sessions
| Time Periods
| Topics
| AVS 71 Schedule
PS-ThP-1 Effect of Atmospheric Pressure Plasma Electrode Configurations on PVDF Film Properties
Eun Young Jung (The Institute of Electronic Technology, College of IT Engineering, Kyungpook National University); Habeeb Olaitan Suleiman, Heung-Sik Tae (School of Electronic and Electrical Engineering, College of IT Engineering, Kyungpook National University); Choon-Sang Park (Electrical Engineering, Milligan University) The development trends of piezoelectric nanogenerators (PENGs) will be flexible light weight and wearable self-powered electronics for an industrial application. For this reason, polyvinylidene fluoride (PVDF) for piezoelectric polymer materials seem to be attractive candidates for flexible PENGs owing to mechanical flexibility and good properties of the piezoelectric and ferroelectricity [1,2]. Recently, these PVDF-based polymers are used to produce films using the various plasma techniques such as low-pressure and atmospheric pressure plasma (APP). In particular, the APP process is the appropriate method to deposit the polymer film on the point of view a simple and low cost process. This APP process are effective method for polymer deposition under ambient air due to easy, simple process, and room temperature [3]. There are few research on the piezoelectric polymers using the APP process [1,4]. However, there are some problems such as low deposition rate, loss of monomer precursor, and small deposition area of the APP processes. Thus, to resolve these problems, the structural improvement of plasma reactor is essential for enhancing the efficiency of deposition rate and deposition area. Accordingly, this study investigates the structural characteristics and deposition rate of PVDF thin film deposited by using APP plasma reactor electrode configurations (metal-mesh and planar-type). The characteristics of PVDF thin films investigated using field-emission scanning electron microscope (FE-SEM), Fourier transforms-infrared spectroscopy (FT-IR), X-ray diffraction (XRD), and LCR meter. Through new APP plasma reactor electrode configurations, the thickness of PVDF thin film was increased by using a planar-type electrode compared to that of a metal-mesh type electrode at room temperature using a mixed polymer solution composing of PVDF nano powder and dimethylformamide solution. FE-SEM results show that PVDF nanoparticles are clearly observed and uniformly coated. In the FT-IR spectra, two types of chemical bonds (α and β phases) were observed in the deposited PVDF thin film. Based on these experimental results, we may expect that a new APP plasma reactor will be a great attractive method in order to synthesis the PVDF thin film under atmospheric pressure. The APP with new APP plasma reactor, FE-SEM, FT-IR, XRD, LCR meter, and related mechanism of PVDF thin film are studied and will be discussed in detail. |
PS-ThP-2 Dependence of MQW Sidewall Damage on Ion Incident Angle: Insights from Molecular Dynamics Simulations
Eun Koo Kim, Hyun Woo Tak, Geun Young Yeom (Sungkyunkwan University (SKKU)) Micro-LED (uLED) technology is emerging as a next-generation display solution due to its high brightness, energy efficiency, and scalability. In uLEDs, multiple quantum wells (MQWs) serve as the primary light-emitting layers, and preserving their optical performance is critically dependent on minimizing sidewall damage. As the lateral dimensions of uLEDs continue to shrink, the increasing proportion of sidewall area makes it critical to control the damage that is inevitably introduced during plasma etching processes. Such damage leads to increased non-radiative recombination at the sidewalls, which in turn significantly degrades the external quantum efficiency of the device. [1] Although several approaches such as sidewall passivation using various materials and post-treatment techniques [2], as well as atomic layer etching (ALE), have been proposed to mitigate this issue [3], a comprehensive understanding of how the ion incident angle affects MQW sidewall damage remains lacking. In this study, we employ molecular dynamics (MD) simulations to investigate the angle-dependent characteristics of ion-induced sidewall damage in MQW structures. Specifically, the analysis is categorized into (1) physical damage induced solely by ion bombardment and (2) damage resulting from ion bombardment after reactive radical adsorption—simulating typical RIE and ALE conditions. The simulations are designed to quantitatively evaluate the extent of structural damage in terms of penetration depth, surface roughness, sputtering yield, and dislocation formation, as functions of ion incident angle, ion kinetic energy, and ion dose. The results of this study provide atomistic insights into the mechanisms of ion angle-dependent sidewall damage of MQWs and offer valuable guidance for optimizing plasma etching processes in advanced uLED fabrication. References [1]Z. Liu et al., Light Sci. Appl. 14, 64 (2025). [2]Y. Zhang et al., Micromachines 14, 991 (2023). [3]W. Y. Ho et al., Appl. Phys. Lett. 123, 062102 (2023). |
PS-ThP-4 Atomic Layer Etching of GaN Micro Light-Emitting Diodes with Different Sidewall Slope
Yun Jae Park, Geun Young Yeom, Hong Seong Gil, Jong Woo Hong (Sungkyunkwan University (SKKU)) GaN-based micro light-emitting diodes (μLEDs) are widely used in display technologies due to their high brightness and high endurance in harsh environment. However, during the reactive ion etching (RIE) process for device definition of GaN-based μLEDs, damage to the activation layer or sidewalls can significantly degrade the device’s external quantum efficiency (EQE). To mitigate this, various methods, such as optimizing the etch process or conducting post-etch processes (passivation insulator deposition, annealing, wet etching), have been studied to remove the damaged layer. However, more precise damage control techniques are needed as device dimensions shrink.[1] In this study, we propose combination of wet etching with a plasma-based anisotropic atomic layer etching (ALE) process to remove sidewall damage induced by ICP-RIE. Tetra methyl ammonium hydroxide (TMAH) wet etching, commonly used to remove the damaged layer and to improve the etch profile in GaN-based μLEDs, is dependent on the crystal orientation, causing changes in the sidewall angle during processing. We examined how well the optimized ALE process could be applied to remove remaining sidewall damage across various sidewall angles, which vary with TMAH treatment time. To analyze the effect of ALE, we observed changes in electrical and optical performance, confirming improvements in both EQE and I–V characteristics when sidewall damage was effectively eliminated. Furthermore, transmission electron microscopy (TEM) analysis revealed that the damaged lattice region near the sidewall had been removed, supporting the physical recovery observed through electrical characterization.[2] This study shows that sidewall angle, etching, and surface treatment all play an important role in enhancing μLEDs performance. The results suggest that employing ALE to precisely control the sidewall can improve the efficiency of GaN-based devices. References: [1]J.-H. Park, M. Pristovsek, W. Cai, H. Cheong, A. Tanaka, Y. Furusawa, D.-P. Han, T.-Y. Seong, and H. Amano, "Impact of sidewall conditions on internal quantum efficiency and light extraction efficiency of micro-LEDs," Adv. Optical Mater., vol. 11, no. 10, p. 2203128, Mar. 2023 [2] T. Ohba, W. Yang, S. Tan, K. J. Kanarik, and K. Nojiri, "Atomic layer etching of GaN and AlGaN using directional plasma-enhanced approach," Jpn. J. Appl. Phys., vol. 56, no. 6S2, p. 06HB06, May 2017. |
PS-ThP-5 Anomalous Behavior of Plasma Potential in a Planar Helical Resonator Discharge
Un Jae Jung, Yeong Jae Jeong, Min Seok Kim (Hanyang University, Engineering Center Annex, Room 401-1, 222 Wangsimni-ro, Seoul, South Korea); Chin Wook Chung (Hanyang University, Engineering Center Annex, Room 403-1, 222 Wangsimni-ro, Seoul, South Korea) Since a helical resonator plasma source does not require a matching network, it enables high-efficiency discharges and is considered a promising next-generation plasma source. An anomalous increase in plasma potential is observed in a planar helical resonator. As the applied power increases from 10 to 50 W, the plasma potential first increases and then shows a decreasing trend, similar to the E-to-H mode transition observed in inductively coupled plasmas (ICPs). Interestingly, as the power is further increased to approximately 400 W, the plasma potential increases again. The abnormal increase in plasma potential observed at high power disappears when a Faraday shield is inserted between the antenna and the plasma. This behavior is attributed to the significant amplification of the helical antenna voltage caused by resonance. These findings provide insight into themechanism of plasma potential formation in planar helical resonator discharges and the role of antenna–plasma coupling. |
PS-ThP-6 Low-damage Atomic Layer Etching process for GaN-based Light Emitting Diodes
Chan Ho Kim, Jong Woo Hong, Geun Young Yeom (Sungkyunkwan University (SKKU)) Today, due to its wide direct bandgap and high efficiency, Gallium Nitride (GaN) based devices have gained significant attention in various applications such as light-emitting diodes (LEDs) and power semiconductors. As the critical dimension of LED devices becomes smaller, reactive ion etching (RIE) process is widely used to fabricate GaN based devices to achieve anisotropic profile. However, ion bombardment during RIE process causes surface damage, which deteriorates GaN based LED device performance. This problem becomes more significant as critical dimension of LED device becomes smaller due to the higher ratio of sidewall area to total area. In this study, atomic layer etching (ALE) process is introduced after RIE process to remove damage caused by RIE process in GaN based structure, including multi-quantum well (MQW) layer composed of InGaN and GaN. In TEM images, the MQW layer appeared indistinct after RIE process. Although wet etching followed by RIE improved layer visibility to some degree compared to RIE process, ALE process made the MQW layer more clear than wet etched MQW, indicating more appropriate damage removal. X-ray photoelectron spectroscopy (XPS) analysis exhibited that RIE induced damage changed the atomic ratios of N/Ga and Ga/In relative to reference data. However, after ALE process followed by RIE, the atomic ratios were returned similar to the reference data, although wet etch process also slightly restored atomic ratios. Furthermore, like XPS analysis data, Raman spectroscopy revealed that ALE process removed damage more efficiently compared to wet etch. Photoluminescence (PL) measurements at the same area showed that, as device size decreased, the damage caused by RIE is worse. However, PL intensity improvement was observed after ALE treatment and, as device size is smaller, the improvement in PL intensity is higher. Therefore, compared to wet etch process after RIE, ALE offers superior surface damage removal, especially showing its effectiveness in smaller devices. |
PS-ThP-7 Comparison of SiNx/SiOx contact hole etching between CF4 and low global warming gas
Jun Won Jeong, Geun Young Yeom, Jong Woo Hong (Sungkyunkwan University (SKKU)) Demands for thinner, lighter, and higher-resolution panels in digital devices such as mobile phones, TVs, and laptops has led to the evolution of display technology such as LTPS (Low-Temperature Polycrystalline Silicon) technology. [1-2] LTPS thin film transistor (TFT) uses the excimer laser annealing (ELA) for crystallizing amorphous silicon (a-Si) at lower temperatures, therefore, LTPS achieves significantly higher electron mobility than conventional a-Si. [3] In the device processing for next-generation LTPS TFT, optimizing the SiNx/SiOx stack contact hole dry etching process is critical. This requires high SiNx/SiOx stack etch rates, minimal sidewall damage, and anisotropic etch profiles, and, conventionally, CF4 is generally used in the SiNx/SiOx stack contact hole etching. This study compares the conventional perfluorocarbon (PFC) CF4 gas with low global warming potential gases in the dry etching of SiNx/SiOx stack contact holes. By using low global warming potential gases instead of conventional CF4 in the etching of SiNx/SiOx stack, little lower SiNx/SiOx etch rates compared to CF4 were obtained, however, much similar etch selectivity between SiNx and SiO2 in addition to higher etch selectivity over photoresist could be observed. In addition, more anisotropic etch profiles of contact hole and the lack of microtrenching at the edge of contact hole could be obtained with low global warming gases. The etch mechanism could be confirmed by observing the plasma characteristics with OES and QMS, and by measuring the surface characteristics after etching with XPS. Therefore, for the contact hole etch processing, it is believed to be possible to replace CF4 having a high global warming potential with alternative low global warming gases with enhanced etch characteristics. |
PS-ThP-8 Enhancing Etch Characteristics of MTJ using RF-Biased RIBE
Kyoung Chan Kim, Yun Jong Jang, Hong Seong Gil, Woo Chang Park, Dae Yeon Ha, Su Jeong Yang, Geun Yeong Yeom (Sungkyunkwan University, Korea) STT-MRAM is actively researched as a next-generation memory due to its non-volatility, fast operation, high stability, and ease of scaling, all of which are essential for high-performance computing and AI advancements. Materials such as CoFeB, Ru, MgO, etc. are used in the Magnetic Tunnel Junction (MTJ) layer for data storage in addition to CoPt and CoIr to enhance magnetization stability. A common etching method for these MTJ stack layers is Ar+ Ion Beam Etching (IBE).However, the Ar+ IBE process leads to MTJ etch by-products redepositing on the pattern sidewalls. Tilting the substrate during Ar+ IBE is generally used to address this issue but does not fully resolve issues like shadow effects especially for recent high aspect ratio and small CD patterns. Previously, to address these issues, Reactive Ion Beam Etching (RIBE) has been investigated with reactive gases such as CO/NH3 and Cl2 to improve volatility of etch by-products. However, this can degrade the MTJ magnetization properties. RIBE process using H2/NH3 mixed gases has been also investigated to mitigate some of these issues. |
PS-ThP-9 Etch Characteristics of Ru-Pt Composite Using Halogen-Based Gases
Hyeong Joon Eoh, Geun Young Yeom (Sungkyunkwan University (SKKU)) The lithography process is a key step in patterning, and it is one of the most challenging processes in the introduction of high-resolution semiconductor manufacturing. To overcome this challenge, advancements in photolithography technology have been progressing in the direction of utilizing shorter wavelength light sources. This has led to the development of Extreme Ultraviolet Lithography (EUVL), which is now used in a few nm processes. High-NA EUVL is a further refinement of this technology, enabling stable patterning even at sub-2 nm processes. As the photomask for EUVL, reflective mask containing patterned EUV absorbing layer is used. TaN-based EUV absorber is generally used as an EUV mask absorber but, for High-NA EUV systems, a new EUV mask absorbing layer is known to be required to reduce image distortion, which degrades pattern quality. Ru–Pt composite is a strong candidate to replace the TaN-based absorber, considering the above conditions. In this study, the etch characteristics of the Ru–Pt composite are examined using halogen-based plasmas. The Ru/Pt composition ratio was varied, and the corresponding etch characteristics were investigated. When etching the Ru–Pt composite using fluorine-based gas in an ICP system, the etch rate increased with increasing Ru content in the Ru-Pt composite. In contrast, under chlorine-based gas chemistry, the etch rate increased with increasing Pt content in the Ru–Pt composite. In the case of pure Ar+ ion sputtering without halogen gases, the etch rate increased with increasing Ru content in the composition. The effects of various process conditions on the etch characteristics of Ru-Pt composite required for EUV mask will be shown in the presentation. |
PS-ThP-10 Tailored Waveforms for Ion Energy Control in ALE Applications
Sebastian Mohr, Hyungseon Song (Quantemol Ltd.) Atomic layer etching (ALE) is increasingly used in the manufacturing of semiconductor tools as they give more control over the resulting etching profiles than traditional etching techniques. While different approaches to ALE exist, many of them employ plasmas in one or more steps of the ALE process, be it to use the neutral radicals produced in the plasma to alter the surface or the ions to remove the altered top layer [1]. For such applications, independent control of ion flux and ion energy is highly desirable. Single frequency capacitively coupled discharges (CCPs) do not offer this, as the input power affects both flux and energy. Dual frequency discharges allow this to some extent, but it is limited due to, for example, increased ionization by secondary electrons at high powers of the low frequency. Furthermore, traditional CCPs usually produce bimodal ion energy distribution functions which can cover several 10s to 100s of eV with sharp peaks at either end, so the ion energy cannot be easily limited to a small interval of energies, which is desirable especially for ALE applications, so that the ions remove the top layer of the surface but do not damage the underlying bulk [1]. An alternative approach to achieve this desired control are tailored waveforms. These can range from so-called asymmetric waveforms combining a fundamental frequency with even multiples [2] to non-sinusoidal waveforms typically consisting of sharp voltage peaks [1] followed by a relatively long interval of an almost constant voltage. While it has been demonstrated that these type of CCPs offer independent control of ion flux and energy and/or are able to limit the ion energy to narrow energy intervals, they have not yet been well studied in industrial applications. This presentation will show continued efforts to simulate industrial applications of tailored waveform CCPs using the well-established 2D plasma simulation code HPEM [3]. In these discharges, the plasma is sustained via ICP coupling, while the tailored waveforms are applied to an rf-electrode staging the wafer. Former simulations have shown the intended effect in case of blank metal electrodes, i.e. almost monoenergetic IEDFs at the electrode. In the continued simulations, we investigate the effects of wafers on the produced IEDFs, for example via charging effects. [1] T. Faraz et al. J. Appl. Phys. 128213301 (2020) [2] U Czarnetzki et al Plasma Sources Sci. Technol.20 024010 (2011) [3] M. Kushner J. Phys. D42 194013 (2009) |
PS-ThP-11 Isotropic Atomic Layer Etching of MoS₂ using Oxygen Plasma and Organic Solvent Vapor
Sunjae Jeong, Hyewon Han, Jieun Kang, Jimin Kim, Geunyoung Yeom (Sungkyunkwan University (SKKU)) Preciselayer control of two-dimensional transition metal dichalcogenides (TMDs) is essential for the implementation of high performance electronic and optoelectronic devices. Atomic layer etching (ALE), which allows for precise layer control, can be performed using either thermal or plasma-based methods, enabling uniform etching. While conventional anisotropic etching has primarily been carried out through radical adsorption followed by ion-induced desorption, the increasing complexity of three-dimensional semiconductor device structures has led to a growing demand for isotropic etching techniques. In this study, we utilize a method in which reactive radicals are generated through oxygen plasma and adsorbed onto the MoS₂ surface, followed by exposure to organic solvent vapor to facilitate the desorption of individual layers, thereby enabling precise layer control. Compared to conventional etching methods, this approach allows for damage-free processing while significantly improving the uniformity and precision of layer removal. Additionally, we compare the etching performance based on the chemical structure of the organic solvent vapor and the process temperature, emphasizing differences in reactivity and volatility during the etching process. These are important parameters in determining the efficiency and selectivity of the etching process. Our results confirm that the MoS₂ layers can be etched using a controlled manner, with approximately one monolayer removed per cycle, as verified through Raman spectroscopy and atomic force microscopy (AFM) analysis. By achieving precise layer control of MoS₂, this study represents a significant advancement in the integration of TMD materials into next-generation electronic and optoelectronic devices. The findings contribute to the broader field of advanced materials research, paving the way for improved manufacturing techniques that meet the demands of future semiconductor technologies. |
PS-ThP-12 Anticathode Effect on Multimodal Azimuthal Oscillations in Electron Beam Generated ExB Plasma
Nirbhav Chopra (Applied Materials,Varian Semiconductor Equipment); Yevgeny Raitses (Princeton Plasma Physics Laboratory) Electron beam (e-beam) generated plasmas with applied crossed electric and magnetic (ExB) fields are promising for low-damage (gentle) material processing [1]. However, these plasmas can be subject to the formation of plasma non-uniformities propagating in the ExBdirection. These rotating plasma structures (or ‘spokes’) enhance the transport of electrons and ions across the magnetic field, which can harm the gentle processing capability of plasma. In this work [2], we investigate the role of electrostatically active boundaries on the spoke formation by incorporating a variable bias conducting boundary (known as an anticathode) placed on the axially opposite side of the cathode. Our findings indicate suppression of azimuthal modes occurs when the anticathode is electron collecting. Furthermore, we show the highest frequency azimuthal mode is selectively suppressed by biasing the anticathode to an intermediate potential between the cathode and anode potentials. These findings suggest a link between the axial electron confinement in the e-beam generated plasma and azimuthally propagating plasma structure formation. [1] Zhao F et al 2021 Carbon 177, 244-251 [2] Chopra N S and Raitses Y 2025 Appl. Phys. Lett. 126, 064101 |
PS-ThP-13 Plasmonic Plasma Process for Room Temperature Growth of Ultra-Thin Dielectric Films
Takeshi Kitajima, Machiko Miyake, Toshiki Nakano (National Defense Academy, Japan) Catalytic surface reactions utilizing gold nanoparticle plasmons have been utilized in various applications in recent years.1 We have applied hot electrons supplied from gold nanoparticles to plasma surface reactions to use them to form high-quality ultrathin films at room temperature.2 We focused on the mixed effect of visible light for plasmon excitation and plasma VUV emission and discovered the effect of green light excitation that promotes radical nitriding. Due to the mercury probe measurement and TEM imaging, the film grown have sperior dielectric feature and uniformity with less plasma induced damage in spite of nonuniform formation of gold nanoparticles.In the growth sequence, Au was vapor-deposited on a SiO2/Si(100) substrate in an ultra-high vacuum chamber with an average thickness of 0.4 nm by electron beam deposition to form Au nanoparticles (C) on the surface. A 30 mTorr N2-inductively coupled plasma was generated in the attached chamber, and the sample was irradiated with N radicals (R) that passed through a 30 line/inch SUS304 single mesh with the configuration shown in Fig.1(a) for 5 minutes. A filter and a white LED controlled the wavelength of light (L), and VUV light from N2 plasma was mixed. The reaction condition consisting of the above is RLC. Fig.1(b) shows the dielectric characteristics of the SiON film {leakage current and EOT (equivalent oxide film thickness) when 1 V is applied}. In green light suitable for Au plasmons, the hot electrons (~ 4 eV) generated by the deexcitation of plasmons enabled the bond conversion from Si-O to Si-N the ultra-thin SiON shows the same characteristics as the thermal oxide film. By mixing VUV, it is possible to increase the film thickness further and reduce leakage. Cross-sectional TEM image of SiON film after plasmonic process is shown in Fig.1(c). Beneath the Au particle SiON film with wide range of uniformity is confirmed and the single crystal lattice of Si substrate is clearly identified. Mixture of Au atoms into the dielectric film is examined with EDX spectrum shown in Fig.1(d). Au peak at 2.121 keV and 9.712 keV are less than the detection limit. From the above, it is considered that the reaction between the adsorbed N radicals and Si proceeded, and a good quality SiON film was formed by superimposing the photoelectron emission from the VUV light on the hot electron injection from the Au nanoparticles by green light irradiation.1 C. Clavero, Nat. Photonics 8, 95 (2014).2 T. Kitajima, M. Miyake, K. Honda, and T. Nakano, J. Appl. Phys. 127, 243302 (2020). View Supplemental Document (pdf) |
PS-ThP-14 Interaction of Sapphire (Single-Crystal Al2O3) and Ni-Based Alloy Surfaces with Halogen-Containing Plasmas and Gases
Takuya Ishihara, Hidenobu Tochigi (Azbil corporation); Hojun Kang, Kazuhiro Karahashi, Satoshi Hamaguchi (Osaka University, Japan) In semiconductor manufacturing processes such as dry etching or chemical vapor deposition, capacitance manometers are widely used as essential vacuum pressure sensors to monitor and control the pressures of process gases. These gauges must be corrosion-resistant against process gases such as halides and their radicals generated by the plasmas. The diaphragm material of the manometer is especially important because, if its surface is altered by such corrosive gases, the sensor would send imprecise output signals possibly with the zero-point drift or pressure sensitivity shift. The errors are caused by the changes in mechanical properties of the diaphragm arising from the formation of the modified surface layer. For this reason, Ni-based alloys or polycrystalline ceramics of aluminum oxide (Al2O3) are typically used as the diaphragm material of capacitance manometers. More recent capacitance manometers employ sapphire (single-crystal α-Al2O3) as their diaphragm material, which is of specific interest in this study[1]. Recent studies on the interactions of polycrystalline Al2O3 with fluorine-containing plasmas indicated the formation of aluminum fluoride layers on Al2O3 exposed to such plasmas[2,3,4,5,6]. We have reported the results of ion beam experiments to understand the surface modification mechanisms of Ni-based alloys and polycrystalline Al2O3 film by fluorine-containing plasmas[7]. In this study, similar ion beam experiments with sapphire substrates have been executed to compare the surfaces of single-crystal Al2O3 and polycrystalline Al2O3. In addition, Ni-based alloy samples were exposed to xenon difluoride (XeF2) gases for 1,3,6, and 12 months, and their fluorinated surfaces were analyzed and compared with the sapphire surfaces under the same conditions reported previously[7]. [1] T. Ishihara, et al, 35th Sensor Symposium (2018) [2] Chen Chien-Wei, et al, J. Vac. Sci. Technol. A Vol.41 No.1 Page.012602-012602-9 (2023) [3]Kim Yewon, et al,Appl. Surf. Sci. Vol.641 Page.Null (2023) [4]Vos Martijn F. J., et al,J. Phys. Chem. C Vol.125 No.7 Page.3913-3923 (2021) [5]Chittock Nicholas J. , et al,Appl. Phys. Lett. Vol.117 No.16 Page.162107-162107-5 (2020) [6]Fischer Andreas,et al, J. Vac. Sci. Technol. A. Vol.38 No.2 Page.022603-022603-7 (2020) [7] T. Ishihara, et al, the AVS 70th International Symposium & Exhibition (2024) |
PS-ThP-15 3D Feature Profile Simulation with Realistic Plasma Chemistry for High Aspect Ratio Etching in the Memory Industry
Ju Won Kim, Seong Yun Park, Hae Sung You, Jae Hyung Park (Jeonbuk National University); Kook Hyun Yoon, Sung Sik Shin, Dong Hun Yu (KWTSolution); Yeon Ho Im (Jeonbuk National University) The memory industry has faced drastic challenges in high aspect ratio etching processes consisting of ON stacks (SiO2/SiN) or SiO2 to achieve higher cell densities or cell capacities. Recently, the problems encountered in HAR etching processes are mainly due to abnormal profiles such as necking, bowing, random distortion. Despite these difficulties, current process development is still largely based on trial and error due to the inherent complexities of plasma physics and chemistry and plasma-surface interactions. To address this issue, we have developed a 3D feature profile simulation platform called K-SPEED, which includes a zero-D reactor simulation, a multi-level set algorithm, a ballistic transport algorithm and a surface reaction model. The accuracy of this approach has been verified by the intensive comparative study of experimental evidence. In this work, we investigated the origin of abnormal profiles using realistic 3D feature profile simulation along with key process conditions. We believe that our process simulation platform will significantly help to optimise the HAR process for the next generation of memory devices. |
PS-ThP-16 Plasma Anodization for the Production of AlF3 Layers
Scott Walton (Naval Research Laboratory); Javier del Hoyo (NASA); Michael Johnson (Naval Research Laboratory); Luis Rodriguez de Marcos (NASA); Makenzie Meyer, John Murphy (Naval Research Laboratory); Manuel Quijada (NASA); Maria Sales, Virginia Wheeler, David Boris (Naval Research Laboratory) Efficient ultraviolet (UV) mirrors are essential components in space observatories for UV astronomy. Aluminum mirrors with fluoride-based protective layers are commonly the baseline UV coating technology; these mirrors have been proven to be stable, reliable, and have a long flight heritage. However, despite their acceptable optical performance, it is still insufficient for future large telescopes in which several reflections are required. Recently, a readily scalable, plasma-based passivation process was developed to produce a thin AlF3 layer on the surface of aluminum. The passivation process uses an electron beam generated plasma produced in a fluorine-containing background (SF6 or NF3), to simultaneously remove the native oxide layer while promoting the formation of an AlF3 layer with a tunable thickness. This process has the characteristics of established aluminum anodization approaches – either electrochemical or plasma – except here, fluorine replaces oxygen as the reactant. The process takes advantage of the ability of electron beam driven plasmas produced in electronegative gas backgrounds to generate substantial densities of negative ions, which can be delivered to the surface and utilized to grow the fluoride layer. While layer thickness scales with applied bias as expected, the growth rates are challenging to understand. In this presentation, we will discuss the process using operating parameter studies, plasma diagnostics, and materials characterization, with an eye on understanding the growth mechanisms and the potential for better process control. This work is supported by the Naval Research Laboratory base program and NASA grant no. NNH20ZDA001N/20APRA200093. |
PS-ThP-17 Inductively Coupled Plasma (ICP) Research Reactor to Validate Nanocalorimetry as a Prospective Plasma Diagnostics Technique
Carles Corbella (National Institute of Standards and Technology (NIST)/ University of Maryland, College Park); Feng Yi, Andrei Kolmakov (National Institute of Standards and Technology (NIST)) Recent advances in microelectronics require techniques for faster, more accurate, and comprehensive characterization of plasma-based nanofabrication processes, such as film deposition and surface etching or cleaning. Our recent demonstration of using membrane-based differential nanocalorimetry to measure atomic radicals in reactive plasmas sensitively [Diulus et al, J. Vac. Sci. Technol. B 43, 020601 (2025)] has inspired the further development of this new plasma probe. This probe aims to analyze plasma parameters and fundamental plasma-surface interactions through heat exchange measurements.The present work describes a research plasma reactor equipped with an adjustable ICP source and standard plasma diagnostics tools to benchmark the nanocalorimeter output: (1) single and double Langmuir probes to provide plasma parameters and electron energy probability function (EEPF); (2) retarding field energy analyzer (RFEA) with a built-in quartz microbalance to evaluate ion energy distributions and mass variation rates, and (3) optical emission spectroscopy (OES) together with (4) quadrupole mass spectrometer for plasma chemistry monitoring. Key nanocalorimeter characteristics, such as sensitivity, response time, lifetime, and stability, as well as parasitic signal interference, will be discussed. This new sensor is well-suited for monitoring surface modification processes in multiple plasma treatment applications. |
PS-ThP-18 Experimental Investigation of the Interactions between Piezoelectric Crystals and Plasma Discharges
Jinyu Yang, Zhongyu Cheng, Sean Kerr, David Go (University of Notre Dame) Direct piezoelectric effect of non-centrosymmetric crystals, such as lithium niobate (LN) and lead zirconate titanate (PZT), provides opportunities to develop energy conversion plasma sources that remedy the need for high-voltage power supplies by directly transforming mechanical energy into plasma generation. To date, insight into the fundamental interactions and coupled physics between piezoelectric materials and plasma behaviors remains in its early stages. In this work, we utilized LN and PZT piezoelectric transformers (PTs) as model systems to investigate whether the level of the mechanically induced polarization in a piezoelectric crystal appreciably alters the behavior of a pulsed helium plasma jet impinging upon its surface, and whether these interactions manifest themselves in electrical characteristics. Preliminary optical and electrical characterization revealed that the morphology of the plasma jet plume and its contact at the plasma-crystal interface varied when the plasma jet generation was synchronized to different phases (i.e., different levels of polarization) of the input voltage to the PT. While no appreciable difference was observed in the plasma jet current, the current through the PT exhibited obvious suppression by the plasma jet, with the degree of suppression depending on the phase synchronization. Future studies will aim to achieve a more comprehensive understanding of these phenomena using time-resolved imaging technology and to determine if the dominant plasma properties, such as electron density and electron temperature, are also sensitive to the changes in polarization. Experimental findings from this work will be compared with simulation results, assisting in the development of a multi-dimensional, pizeo-plasma coupled model. |
PS-ThP-19 Tailoring of Pulse Voltage Waveform for Monoenergetic Ion Energy Distributions
Seokhyeon Ha, Hyeonho Nahm, Minseok Kim, Heejae Yang, Chin-Wook Chung (Hanyang University, Korea) Tailored voltage waveform on DC-pulsed bias has recently attracted interest as an effective means to control ion energy distribution functions (IEDFs). As the ion density increases, ion charging on the substrate increases, leading to a broadening of the IEDFs. For more advanced control of the IEDFs, a feedback system between the ion density and the applied voltage waveform is developed. To tailor the voltage waveform based on the measured ion density, a real-time ion density monitoring system is required. We employed a floating harmonic probe to measure the ion density in real time. Using the measured ion density, the slope of the voltage waveform is determined. This enables the IEDFs to remain narrow at various conditions |
PS-ThP-20 Variable Pressure High Power Impulse Plasma Source
Josh Mangum, Vasiliki Poenitzsch (Southwest Research Institute) Our research investigates a novel High Power Impulse Plasma Source (HiPIPS) that delivers high-energy reactant flux to surfaces while maintaining low processing temperatures. HiPIPS combines variable pressure plasma jets with advanced pulsed power technology. We employed multiple diagnostic methods—mass spectroscopy, optical emission spectroscopy (OES), and electrical/thermal probes—to characterize plasma properties across diverse HiPIPS parameters and conditions. Experiments demonstrate that pulses with high peak power (40 kW) generate high peak current (200 A) and enhanced plasma density (ne ~ 1020 cm-2s-1) while maintaining low average power (200W) and substrate temperature (50-150 °C) in atmospheric to high-pressure environments. This presentation provides an overview of HiPIPS, emphasizing plasma characteristics, practical applications, and future development opportunities |
PS-ThP-21 Plasma-Assisted Uptake and Thermal Removal of Hydrogen in Liquid Lithium for Hydrogen Storage Applications
Braden Moore, Daniel O'Dea (University of Illinois at Urbana Champaign); Meenakshee Sharma (University of Illinois at Urbana-Champaign); Elliot Sherman, Zach Nordan, Loren Calleri, Riley Trendler, David Ruzic (University of Illinois at Urbana Champaign) Lithium hydride is a potential material for reversible hydrogen storage applications due to its high hydrogen content and energy density. Metal hydrides, in general, enable high mass density storage of hydrogen at low pressures and moderate temperatures, making them attractive for integration into future energy systems. While solid-state metal hydrides have been studied for this purpose, hydrogen uptake in liquid lithium for energy storage applications remains relatively underexplored. Hydrogen production through industrial-scale electrolysis or thermochemical splitting often involves high-temperature systems that could inherently maintain lithium in its molten state during hydrogenation. The University of Illinois at Urbana-Champaign (UIUC) has constructed the Actively Pumped Open-Surface Lithium LOop (APOLLO), which consists of a flowing lithium loop, a lithium free-surface, a hydrogen plasma source, and a distillation column for the thermal extraction of hydrogen. The flowing liquid lithium surface can be exposed to an Electron Cyclotron Resonance (ECR) hydrogen plasma source that has been characterized with an array of 16 Langmuir probes, a Retarding Field Energy Analyzer (RFEA), and actinometric spectroscopy. The hydrogenated liquid lithium then flows to an inductively heated Hydrogen Distillation Experiment (HyDE), which thermally treats the lithium at temperatures above 700℃ to remove hydrogen. This presentation will focus on preliminary measurements of hydrogen uptake and removal at very low hydrogen concentrations. Future work will expand to higher hydrogen concentrations that are more applicable for an efficient energy storage system. |
PS-ThP-22 Digital Twin Plasma Model for ICP Reactors: Integrated Multi-Physics and ML-Driven Optimization
Muhammad Abdelghany (Illinois Plasma Institute, University of Illinois at Urbana-Champaign); Zachariah Ngan (Department of Nuclear Engineering, University of California at Berkeley); Dren Qerimi (Illinois Plasma Institute, University of Illinois at Urbana-Champaign) Inductively Coupled Plasma (ICP) reactors are pivotal tools in modern semiconductor manufacturing, enabling high-precision etching and deposition processes essential for advanced device fabrication. Despite their widespread use, optimizing ICP reactor performance is challenging due to complex multi-physics interactions and the sensitivity of operating parameters, including RF power, frequency, gas composition, pressure, flow rates, and chamber geometry, as well as intricate plasma–surface interactions. We introduce a Digital Twin Plasma Model (DTPM) of an industry-grade ICP reactor that integrates multi-physics simulations, encompassing electromagnetic field computation, plasma kinetics, electron energy distribution, gas-phase chemistry, ion transport, and surface reaction kinetics, into a self-consistent framework. This high-fidelity model combines advanced physical models with machine learning-based predictive algorithms, providing a virtual replica of the reactor’s plasma behavior. The DTPM is implemented using a modular Python-based framework that defines the reactor geometry and plasma parameters and integrates an electromagnetic solver for computing inductive power coupling alongside a particle-in-cell (PIC) model for predicting ion density, electron temperature, and reactive species transport. Surface boundary conditions are incorporated to capture plasma–surface interactions on reactor walls and wafers. Ongoing validation uses a virtual probe to extract local electron temperature and plasma density, with a focus on capturing transient phenomena and non-uniform plasma distributions. To reflect the experimentally observed center-to-edge gradients, a 1D spatial resolution and a virtual Langmuir probe were incorporated into the kinetics solver, enabling direct comparison with experimental measurements. Preliminary results show qualitative agreement, indicating that the DTPM successfully reproduces these key features. In addition to physics-based simulations, the DTPM integrates machine learning (ML) techniques to enable surrogate modeling and real-time optimization. A data-driven surrogate model is trained on the simulation data, providing rapid predictions of plasma metrics as a function of control inputs. This ML-enhanced component accelerates parameter studies and supports on-the-fly optimization of operating conditions. By combining first-principles plasma modeling with ML-driven optimization, this digital twin approach paves the way for more efficient and adaptive control of next-generation industrial ICP systems in semiconductor production. |
PS-ThP-23 Ion & Electron Energy Control with High Voltage Tailored Bias Waveforms in a CCP
James Prager, Paul Melnik, Josh Perry, Chris Bowman, Timothy Ziemba, Kenneth E. Miller (EHT Semi) The demand for solid-state non-volatile memory storage has increased the importance of plasma etching for producing high aspect ratio (HAR) features. To minimize defects in HAR features, precise control of the ion energy distribution function (IED) is essential. Additionally, controlling the electron energy distribution function (EED) is crucial to prevent positive charge buildup, which can distort etched features. EHT Semi has developed a high-voltage bipolar pulse generator that operates at 400 kHz. This system generates negative bias voltage waveforms that are flatter than those produced by standard sinusoidal radio-frequency generators, enhancing control over IEDs and process stability. EHT conducted both experimental and computational studies to understand the interaction between bias waveforms and plasma properties. Using the bipolar pulser with a capacitively coupled RF plasma source, ion and electron energy distributions were measured with a retarding field energy analyzer (RFEA) at bias voltages up to 1.5 kV. Argon/oxygen plasmas were briefly investigated. The hybrid plasma equipment model (HPEM) code was employed to create a computational analogue of the CCP chamber, further elucidating the system's capabilities. |
PS-ThP-24 An RF Generator Driving an Inductively Coupled Plasma Source Without a Matching Network
Timothy Ziemba, Chris Bowman, Paul Melnik, Josh Perry, Connor Liston, James Prager, Kenneth E. Miller (EHT Semi) Inductively coupled plasma (ICP) sources are used throughout the semiconductor and thin film industries. ICPs are driven by a radio frequency (RF) generator that is impedance matched to the plasma. However, matching networks increase the cost, complexity, and thermal management requirements of ICPs, which all scale with power of the RF generator. Additionally, the breakdown is often unreliable and takes a significant amount of time. EHT Semi has developed a new RF generator that eliminates the need for a matching network. This RF generator is being tested on ICPs across a range of experimental parameters (power, neutral pressure, and gases). EHT will present results on breakdown time and reliability compared to traditional RF generators with a matching network. The generator response to plasma impedance changes and constant and variable power will also be presented. |
PS-ThP-25 Investigation of Ion Flux/Sidewall Interactions in High Aspect Ratio (HAR) Features
Tanjina Akter, David S. Kanfer, Steven Shannon (North Carolina State University) Ion interaction with vertical sidewalls in high aspect ratio etching plays a critical role in the etch profile of features in advanced memory devices. Feature distortions such as notching, bowing, and footing can occur due to deposition, sputtering, or charge accumulation brought about by ion interaction with these sidewalls. Charge accumulation is one of these interaction types that contribute to profile distortion. Simulations have been conducted to spatially map this charge buildup, however, there is no diagnostic to provide experimental validation of this accumulation of charge. A novel diagnostic probe has been developed to measure the surface charge distribution inside the HAR features. The probe consists of an array of 10:1 aspect ratio vias (100 nm diameter) on PECVD Oxide with an aluminum ring encircling each via at varying heights. Voltage pickups from the aluminum rings enable the interpretation of a charge profile within the feature through differential measurement of voltage from an adjacent ring where the etched via is absent. This paper presents preliminary characterization of the ion particle flux and ion energy flux for an experimental CCP reactor that will be used to test this probe. A dual RF bias configuration with high (65 MHz) and low frequency (13.56 MHz) bias on each electrode has been employed to better control the ion energies. The control of ion flux distribution through the manipulation of the driving RF waveform can aid in mitigating charge-induced distortions and optimizing plasma processing for HAR structures. The IEDF was obtained by putting an RFEA on the lower-frequency electrode. Bimodal IEDFs were found for the pressure range of 1-100 mTorr, electron densities of 109 - 1011 cm-3, and sheath potential of 50-1000 V using argon gas. The voltage at the top and bottom aluminum rings of a HAR via at the probe are calculated to be ~400 mV and ~100 mV respectively for the electron density of 1010 cm-3 and electron temperature of 4 eV, indicating that the design will have sufficient measurement resolution to measure these charge distributions. This work is supported by the Department of Energy Office of Fusion Energy Sciences (DOE OFES Grant DE‐SC0024545). |
PS-ThP-26 Real Time Plasma Temperature Profiling Using Short Wave Infrared Imaging
Logan Holler, Drhuval Patel, Qerimi Dren, David Ruzic (University of Illinois at Urbana-Champaign); Michael Stowell (Lyten) Recent research has increasingly focused on the growth of graphene within atmospheric pressure plasmas. While it is well established that graphene formation is highly temperature-dependent, the distinction between the formation of graphene flakes versus nodules remains insufficiently characterized within plasmas. A key step forward centers on better mapping the temperatures across our different plasma mixtures. However, conventional diagnostic tools often fall short: most diagnostic systems only provide one-dimensional snapshots, and physical probes degrade rapidly under the high temperatures present in these environments. To overcome these limitations, we propose the use of Short-Wave Infrared (SWIR) imaging as a nonintrusive method to obtain real-time, spatially-resolved temperature measurements across our plasma systems. SWIR imaging leverages blackbody radiation emissions to determine temperature by integrating spectral radiance over the detectable range of our camera. Provided that the camera’s solid angle to the plasma remains fixed, changes in the integrated spectral intensity can be used to derive temperature ratios. By calibrating the system using a known temperature region within the plasma, we can correlate image intensity with absolute temperature for the same or similar plasmas by finding temperature ratios proportional to flux ratios. This allows for dynamic temperature mapping throughout the plasma, which is limited only to the refresh rate of the SWIR Camera. Two methods are being developed to model and validate this approach. The first involves the insertion of a tungsten rod perpendicular to the flow of the plasma, which is incrementally raised through the plasma and allowed to reach thermal equilibrium at each position. This enables time-resolved images to determine temperature gradients and validate our current simulations. The second method involves varying gas mixtures to generate a calibration dataset, allowing the system to be adapted to different plasma environments. These experiments aim to correlate temperature regions with distinct graphene growth, such as flake versus nodule formation. Real-time, full-plasma monitoring also allows for characterizing how dynamic changes to the plasma occur, offering insight into the factors influencing graphene morphology. |
PS-ThP-27 Monitoring Net CO2 Dissociation Rates in the Effluent of Common Plasma Discharges with Optical Emission Spectroscopy
Andrew C Herschberg, Nathan Bartlett, Jameson Crouse, Jaime Robertson, Emily Greene, David N Ruzic (University of Illinois at Urbana-Champaign) Carbon dioxide is an important gas for many plasma discharges, among these include carbon capture and chemical conversion technologies. Such plasma-based systems offer increased sustainability by reducing net carbon footprints and limiting waste from industrial processes. During plasma excitation, much of the CO2 present in the inlet flow will be reduced into CO or other products. Therefore, the CO2 dissociation fraction can be used as a metric for extent of reaction and to optimize process efficiency. Many methods can be employed for this purpose; in this work, an OES method of interest is compared against a standard QMS measurement. These metrologies are implemented into the exhaust gas from a flowing inductively coupled plasma containingCO2 and N2. The OES method employs a self-actinometry technique, comparing the line ratios from the CO Angstrom and N2 second positive spectroscopic systems. This is implemented through a Gencoa OPTIX Remote Spectrometer for a more direct comparison to a differentially pumped SRS Residual Gas Analyzer. Overall both methods were comparable, measuring similar dissociation fractions under tested parameters, with a maximum dissociation of approximately 90%. Actinometric constants for the OES method were stable, deviating by as little as 2% across tested conditions. Implementation of the OES self-actinometric method will require calibration on system of interest, but showed to be more consistent with lower error than the QMS method. View Supplemental Document (pdf) |
PS-ThP-28 Measurement and Modelling of Sn-H2 Vapor Diffusion Coefficients in the Transition Flow Regime
Jameson Crouse, Nathan Bartlett, Emily Greene (University of Illinois at Urbana-Champaign); Shiva Rajavalu (ASML); Andrew Herschberg (University of Illinois at Urbana-Champaign); Sergio Ferraris, Niels Braaksma (ASML); David Ruzic (University of Illinois at Urbana-Champaign) Extreme ultraviolet (EUV) lithography sources use tin in the process of generating 13.5nm wavelength light. Accurate modeling of neutral tin transport is important to understand how the tin coats different sections of the EUV source, which can reduce effectiveness. Modelling relies on knowledge of the diffusion coefficients of neutral tin vapor through molecular hydrogen. This work experimentally and numerically determined the diffusion coefficients of tin through molecular hydrogen at different tin temperatures and ambient pressures. Two experimental projects were used along with a CFD simulation and molecular dynamics simulation. For the most recent experiments, a known amount of tin is evaporated using an inductively heated crucible into a pipe with a known flow profile at a known ambient pressure. An OpenFOAM CFD model of the pipe is used to determine the flow profile within the pipe, along with the use of a diffusion model to predict tin transport. The pipe is inside of a large EUV source chamber prototype which can handle high hydrogen flows. Deposited tin is measured downstream of the pipe at varying distances with witness plates of different materials. Thickness measurements done with a profilometer are used to measure tin flux downstream of the Sn vapor source, which is then compared to the CFD model. Inertia and diffusion coefficients are adjusted in the model to match modelled fluxes to the experiment. A separate experiment is conducted utilizing mass loss measurements of a long crucible kept at a constant temperature and pressure over multiple hours, with tin evaporating out at a known rate. A variety of analytical and numerical coefficients were then compared to experimental fits of mass flux vs position to find the diffusion coefficient. Chapman-Enskog and Fick’s law are the main analytical models utilized. A LAMMPS molecular dynamics model is also utilized to provide a wide array of results across the parameter space studied in this work, which is found to follow Chapman-Enskog theory well, even at lower pressures. The LAMMPS model uses mean squared displacement of Sn to calculate diffusion as the particles interact with H2 through a LennardJones potential. The results detail diffusion coefficients of tin in molecular hydrogen for varying temperatures, pressures, and hydrogen flow speeds with minimized error for each measurement and converging results between experiments." |
PS-ThP-29 Simultaneous Deposition and Removal of Tin in a Hydrogen Plasma Environment
Nathan Bartlett, Jameson Crouse, Andrew Herschberg, Emily Greene, Jaime Robertson, Jack Granat, Lucia Suarez Heredero, Matias Habib, Karl Vu, David Ruzic (University of Illinois at Urbana-Champaign) Tin laser produced plasmas (LPPs) are used to generate 13.5 nm light in state-of-the-art extreme ultraviolet (EUV) lithography tools. Inside these tools, hydrogen gas is used as a buffer gas to decelerate ions from the LPP and is photoionized in the process creating a steady background hydrogen plasma. This plasma etches away tin as it accumulates on the wall of the EUV source forming the volatile compound stannane. Accurate etching rates of tin are needed to model tin accumulation inside of an EUV source. In this work, we present the results of a new experiment at the University of Illinois at Urbana-Champaign where tin vapor is simultaneously deposited and etched off of a substrate. In the experiment, a high temperature effusive source is used to deposit tin vapor onto a substrate while a hydrogen microwave plasma is used to generate hydrogen radicals and remove tin from the surface. Etch rates are presented as well as the morphology of tin accumulated onto the substrate surface. The experiment is simulated using a transport and surface chemistry model ran in the OpenFOAM framework. Results from the experiment are compared with the model and used to validate the model. |