ALD2020 Session AF-MoP: ALD Fundamentals Poster Session

Monday, June 29, 2020 5:45 PM in Room Arteveldeforum & Pedro de Gante

Monday Afternoon

Session Abstract Book
(590KB, Jul 28, 2020)
Time Period MoP Sessions | Topic AF Sessions | Time Periods | Topics | ALD2020 Schedule

AF-MoP-2 A Novel Approach to Evaluate LEIS Data of 2D SnS2 to Quantify the First and Second Atomic Layer Coverage
Philipp Brüner (IONTOF GmbH, Germany); Miika Mattinen, Mikko Ritala (University of Helsinki, Finland); Thomas Grehl (IONTOF GmbH, Germany)

With the strong interest in 2D materials in recent years, the number of requests for Low Energy Ion Scattering (LEIS) analysis of this class of films has increased. As LEIS is sensitive to the outer atomic layer of the sample, it is ideally suited to determine the composition of 2D materials. While other techniques struggle with the extremely thin layer, and the substrate usually contributes to the analytical signal even for closed films, the surface peaks in LEIS only correspond to a single atomic layer.

In addition to the composition of the outer atomic layer, the LEIS spectra also contain information about the composition of the first few nm of the sample. Close to the surface, this information has monolayer depth resolution. Since no sputtering is involved, this method does not suffer from correlated artefacts or transients and has sufficient depth resolution for 2D materials.

In this study, we analysed SnS2 films [1] on Si and sapphire wafers. Specifically, we developed a novel approach to quantify the signal from deeper layers: By subtracting the surface peaks and fitting the remaining in-depth signal, we can quantify the coverage of the second atomic layer. In this way, the quality of the films can be judged very accurately, and for films with complex island growth additional information can be gained.

We will demonstrate both the general approach to thin film analysis using LEIS, as well as the specific method shown here for the first time. This includes the sample cleaning from atmospheric contamination by sample heating in UHV or by exposure to atomic oxygen. Island growth is studied, showing the different trends in island formation for the two different substrates: while strong multilayer growth is found for the Si substrate, growth on sapphire has a consistently higher fraction of the film with monolayer thickness.

[1] Mattinen et al., Small 14(21):1800547 (2018)

AF-MoP-3 The Structure and Properties of Titanium Nitride Layers Grown by Plasma Enhanced Atomic Layer Deposition on Different Substrates
Valentina Korchnoy (Technion - Israel Institute of Technology, Israel); Igor Krylov (Tower Semiconductor Ltd., Israel); Xianbin Xu, Yuanshen Qi, Kamira Weinfeld, Moshe Eizenberg, Dan Ritter (Technion - Israel Institute of Technology, Israel)

Low resistivity TiN films are essential for most microelectronic applications. Film resistivity is determined by electron scattering from foreign atoms, grain boundaries and film surfaces, and often serves as an indication for the film quality. Substrate plays a major role during ALD of polycrystalline films. First deposition cycles determine density and orientation of the initial nuclei, which affects the entire film structure. We report the effect of various substrates on structure and properties of TiN films grown by plasma-enhanced atomic layer deposition using TDMAT as a precursor. TiN films were deposited at 300 °C using N2, NH3, N2/H2 and Ar gas mixtures, on various types of amorphous, polycrystalline and single crystalline substrates. The substrates were Si covered by thermally grown 100nm thick SiO2 (amorphous), and covered by an additional 10 nm interfacial layers: Al2O3, HfO2, WO3, Ta2O5 (amorphous), or MoO3, TiO2 (polycrystalline). Resistivity of the films deposited on MoO3 or TiO2 was lower by a factor of about 2, for all types of plasma gas studied (Fig.1). Shape and size of TiN grains deposited on MoO3 or TiO2 layer are significantly different from those deposited on the SiO2 layer (Fig.2). They consist of large (∼35 nm) rectangular grains. The grains deposited on SiO2 are V-shaped and much smaller.

Growth of TiN films on single crystalline substrates: hexagonal (sapphire) or cubic (SrTiO3 and MgO) was also investigated. Resistivity of TiN films deposited on single crystalline substrates is lower than those deposited on amorphous SiO2 layer (Fig.3). The lowest film resistivity was obtained for TiN films grown on cubic substrates: SrTiO3 and MgO. Postdeposition annealing reduced the film resistivity due to the improvement of crystalline quality. The resistivity became comparable to the bulk TiN value (13 μΩ.cm). A clear peak was obtained by XRD for films grown on (111) and (001) oriented MgO substrates (Fig. 4), indicating that the deposited films consist of highly oriented grains. Grain orientation is determined by substrate.

The substrate strongly affects morphology, orientation, and resistivity of thin PEALD TiN films. Films grown on amorphous substrates exhibit the smallest grain size and the highest resistivity among all investigated substrates. Polycrystalline substrate acts as a “seed” layer for the formation of large rectangular shaped TiN grains, which contribute to low resistivity. The lowest bulk value of TiN resistivity (∼20 μΩ.cm) was achieved in the TiN films, which were deposited on single crystal substrates. The preferred crystallographic orientation of the films, either (111) or (001), does not affect film resistivity. View Supplemental Document (pdf)
AF-MoP-4 Novel Slenium ALD Precursors
Jaroslav Charvot, Daniel Pokorný, Filip Bureš, Raul Zazpe, Jan Macák (University of Pardubice, Czech Republic)

Atomic layer deposition of metal chalcogenides steadily attracts more and more attention. These compounds possess interesting properties that may be utilized in semiconducting materials, photocatalysis, hydrogen evolution etc. Nevertheless, precursor portfolio for selenium deposition is very limited. Highly toxic H2Se or insufficiently reactive Et2Se (Et2Se2) were later replaced with bis(trialkylsilyl)selenides, which proved to be sufficiently volatile, thermally stable and versatile precursor for metal selenide thin layers fabrication. This work presents cyclic silylselenides bearing one or two atoms of selenium as new ALD precursors. They possess volatility and thermal stability similar to previous bis(trialkylsilyl)selenides, however rigid nature of these cycles render them higher air stability. The syntheses of precursors will be described along with their proper analyses (GC/MS, multinuclear NMR). Thermal behaviour was studied by TGA and DSC. All three target molecules were used as Se precursors in ALD by reacting them with MoCl5 to give corresponding MoSe2 thin layers on different substrates.

AF-MoP-11 Atomic Layer-Deposited Superconducting Niobium Nitride for Quantum Device Applications
Emanuel Knehr (Institute of Micro- and Nanoelectronic Systems, Karlsruhe Institute of Technology, Germany); Mario Ziegler, Sven Peter Linzen (Leibniz Institute for Photonic Technologies Jena, Germany); Artem Kuzmin, Konstantin Ilin (Institute of Micro- and Nanoelectronic Systems, Karlsruhe Institute of Technology, Germany); Ronny Stolz, Evgeni Ilichev, Heidemarie Schmidt, Michael Siegel (Leibniz Institute for Photonic Technologies Jena, Germany)

Highly uniform and homogeneous superconducting thin films are of interest for applications in quantum detection and, e.g., for novel devices based on quantum phase slips. One of the most widely used materials for these purposes is niobium nitride (NbN) because of its relatively high critical temperature and short relaxation time, among others [1,2]. Conventionally, NbN films are deposited by reactive magnetron sputtering. However, atomic layer deposition (ALD) has the advantage of precise thickness control and potential deposition of thin films with high uniformity.

The development of superconducting NbN films fabricated by plasma-enhanced ALD has already been shown in [3,4]. The deposition process utilizes (tert-butylimido)-tris(diethylamino)-niobium (TBTDEN) as precursor and a hydrogen plasma to form monolayers of NbN. These ALD-grown NbN films exhibit — favorable for many applications — smaller diffusion coefficients while having similar critical temperatures compared to magnetron sputtered NbN films [5]. Furthermore, our ALD-grown films have the advantage of high kinetic inductivity and a well-defined superconductor-insulator transition (SIT) within the film thickness range of 3-4 nm due to the realized highly disordered film [4].

For future applications, uniform films showing the described properties over large areas are required. For this purpose, we investigate the homogeneity of NbN samples distributed over an area with a diameter up to eight inches during deposition. By measuring both structural (e.g. AFM, XRD) and electrical properties (e.g. Tc, jsw) of these films, we examine the suitability of ALD-NbN for applications requiring uniform thin films over large areas. A small spread of these properties leads to higher yield during fabrication, especially for large area devices such as radiation detector arrays. We will discuss the achieved minimum of electrical parameter spread and corresponding maximum of applicable wafer area.

In addition, we studied the influence of the downstream reactivity of the hydrogen plasma on the NbN thin film properties by varying the distance between the IC-plasma source and the substrate surface.

As an exemplary application, we report on the detection properties of superconducting nanowire single-photon detectors (SNSPDs) made from ALD-NbN thin films.

AF-MoP-12 Carbenes Can Make a CVD Process into an ALD Process by Surface Passivation
Aya Kadri, Matthew Griffiths (Carleton University, Canada); Jason Masuda (Saint Mary's University, Canada); Seán Barry (Carleton University, Canada)

Atomic layer deposition of gold has been explored in the past but all processes to date utilize energetically enhanced coreagents.1 In order to use milder secondary reagents (either oxidants or reductants), Au precursors need to exhibit higher thermal stability. Alkylgold(I) phosphine compounds have been explored for ALD in the literature due to their unique surface reactivity and a broad ligand selectivity. However, it has been shown that (PMe3)AuMe undergoes surface-mediated bimolecular reductive elimination to produce ethane.1

This study exploits the stability of surface bound carbenes to slow the reactivity of carbene adducted Au(I) alkyls (NHC-Au-R) at gold surfaces. It has been shown that N-heterocyclic carbenes (NHCs) form stronger passivating layers on an Au metal surface than do phosphines.

An in-situ quartz-crystal microbalance analysis showed that the precursor (1,3-dimethyl-2-imidazolidinylidene)AuMe exhibits very strong passivation. Literature suggests that isopropyl wingtip groups on the carbene allow the carbene to stand upright on the surface rather than laying flat which makes it much more difficult to remove.2 Finally, fluorination of the Au(I)-bonded alkyl ligand should improve precursor volatility and thermal stability.

The thermal properties of ( 1,3-diisopropyl-2-imidazolidinylidene)AuCF3 (1F) were studied but 1F was found to behave poorly (1 Torr of vapour pressure at 189 C ) with respect to its hydrogen-bearing counterpart ( 1,3-diisopropyl-2-imidazolidinylidene)AuCH3 (1) (157 C). Further effect of fluorination on the thermal stability and volatility was explored by comparing the thermal properties of 1 and 1F with the corresponding compound adducted with the unsaturated carbene ( 1,3-diisopropyl-2H-imidazol-2-ylidene)AuCH3, 2, 1 Torr vapour pressure of 141 C).

Finally, structural modifications to carbenes will be discussed, where a variety of R-substituted carbenes will be adducted to both Au-CH3 and Au-CF3 moieties, and their thermal properties assessed.

(1) Griffiths, M.; Dubrawski, Z.; Bačić, G.; Masuda, J.; Japahuge, A.; Zeng, T.; Barry, S. Controlling Thermal Stability and Volatility of Organogold ( I ) Compounds for Vapor Deposition with Complementary Ligand Design. 2019, Preprint. Https://doi.org/10.26434/chemrxiv.8038973.v2.

(2) Crudden, C. M.; Horton, J. H.; Ebralidze, I. I.; Zenkina, O. V; Mclean, A. B.; Drevniok, B.; She, Z.; Kraatz, H.; Mosey, N. J.; Seki, T.; et al. Ultra Stable Self-Assembled Monolayers of N-Heterocyclic Carbenes on Gold. 2014, 6 (May). Https://doi.org/10.1038/nchem.1891.

View Supplemental Document (pdf)
AF-MoP-14 High Wet Etch Resistance SiO2 Films Deposited by Plasma-Enhanced Atomic Layer Deposition using 1,1,1-Tris(Dimethylamino)Disilane
Su Min Hwang (University of Texas at Dallas); Harrison Kim, Zhiyang Qin (The University of Texas at Dallas); Arul Ravichandran (University of Texas at Dallas); Jaebeom Lee (The University of Texas at Dallas); Yong Chan Jung (University of Texas at Dallas); Si Joon Kim (Kangwon National University); Jinho Ahn (Hanyang University, Republic of Korea); Byung Keun Hwang, Lance Lee, Xiaobing Zhou (DuPont); Jiyoung Kim (University of Texas at Dallas)

With the complexity in device integration such as high aspect ratio trenches and 3D structure increasing, requirements for ALD SiO2 films have been more stringent. In particular, the selection of silicon precursors is important for low-temperature ALD SiOx with high-film quality, e.g., higher wet-etch-resistance and free of catalysis or corrosive by-product.1 Among the numerous Si precursors that are available, an amino-based silicon precursor is considered a good candidate. Recently, extensive researches on ALD of SiOx thin films using various aminosilane precursors such as tris(dimethyl-amino)silane (TDMAS), di(isopropylamino)silane (DIPAS), and bis(diethylamino)silane (BDEAS) have been widely reported.2,3 Most researches on ALD SiOx have been based on amino-mono-silane precursors, hence a comprehensive study of ALD SiOx using an amino-di-silane precursor with overall comparisons has been scarce.

Herein, a novel precursor, 1,1,1-tris(dimethylamino)disilane (TADS), was investigated using thermal atomic layer deposition (tALD) and plasma-enhanced atomic layer deposition (PEALD) for growth of SiOx thin films in a temperature range of 115 – 480 oC. In both ALD processes, TADS exhibits self-limiting growth behavior as a function of precursor and oxygen exposure time. In the case of the tALD process, TADS yields a relatively lower growth rate (0.06 nm/cycle), while showing comparable film density and excellent conformality (> 95% step coverage). In the PEALD SiO2 process, TADS demonstrates not only a higher or at least comparable growth rate but also a higher bulk film density. As a result, the PEALD SiO2 films deposited using TADS show a lower wet etch rate of 1.6 nm/min in 200:1 HF which is comparable to the wet etch rate of thermal oxide. Analyzed with FT-IR, the SiO2 films contain predominant Si−O bonds and a low level of Si−H and O-H bonds. The FT-IR results are consistent with the observed high wet etch resistance. Furthermore, the SiO2 films deposited at 310oC show at least 75% step coverage in high aspect ratio nanotrenches, suggesting that TADS is applicable for conformal high-quality SiO2 films on 3D structures.

The authors thank Dupont for the financial support of this work and providing the TADS precursor. J. Ahn and J. Kim also acknowledge partial financial supports by Brain Pool Program through National Research Foundation by the Ministry of Science and ICT in Korea (Grant #: 2019H1D3A2A01101691).

1 Choi, et al., ECS Solid State Lett. 2, 115 (2013).

2 O’Neill, et al, Electrochem. Soc. Interface 20, 33 (2011).

3 Kim, et al., Chem. Mater. 31, 5502 (2019).

4 Shin et al., J. Vac. Sci. Technol. A 37(2), (2019).

5 Lim et al., ETRI J. 27, 118 (2005).

View Supplemental Document (pdf)
AF-MoP-15 Applying a Figure of Merit to Known Copper Precursors
Atilla Varga, Matthew Griffiths (Carleton University, Canada); Jason Masuda (Saint Mary's University, Canada); Seán Barry (Carleton University, Canada)

Copper metal thin films continue to dominate the manufacturing of microelectronics as interconnects for silicon integrated circuits. Copper reduces propagation delays, power consumption, and size of the interconnects in the circuits, which makes it a highly sought after metal in the field of nanotechnology.[1] Copper nitride thin films are also an area of interest for applications such as chemical sensors, photodetectors, and their optoelectronic energy conversion properties are useful as semiconductors for photovoltaic applications.[2] Finally, copper oxide thins films are used as semiconductors and have many applications in the optoelectronic industry due to their tunable band gaps and interesting properties, most notably superconductivity at relatively high temperatures.[3]

ALD has been used to deposit these copper-containing thin films, and a large variety of different precursors have been studied. There are numerous industrial and academic labs developing processes, precursors, and technologies that incorporate copper thin films. This has resulted in a wide range of ligand choice and precursor design strategies that has produced a wide variety of excellent copper precursors. Herein, the general strategies for copper precursor design, the history of copper precursors, and our analysis of precursor merit will be presented.

Our group has developed a figure of merit for ALD precursors, which incorporates the volatility and thermal stability of a precursor (Equation 1). Using the figure of merit, a given precursor’s usefulness can be visualized and compared to other known precursors. From the copper literature body, a figure of merit will be presented. From this the variety of precursors for different types of film composition can be compared and evaluated. These precursors range from the most utilized copper (I) amidinates[4], guanidinates, and iminopyrrolidinates, as well as more recent precursors such as copper (II) imines[5] and N- heterocyclic carbenes (Figure 1). In addition, novel copper precursors being developed by our group will also be presented.

[1] Peter G. Gordon et al., ECS J. Solid State Sci. Technol.2018, 4, N3188

[2] Christopher. M. Caskey et al., Materials Horizons2014, 1, 424-430

[3] Sekhar C. Ray., Solar Energy Materials & Solar Cells2001, 68, 307-312

[4] Zhengwen Li et al., Inorg. Chem.2005, 44, 6, 1728-1735

[5] John A. Norman., ECS Transactions2007, 3 (15), 162-170

View Supplemental Document (pdf)
AF-MoP-16 Conformality in Aluminum Oxide ALD Process Analyzed using the 3rd-Generation Silicon-Based Lateral High-Aspect-Ratio Test Structures
Jihong Yim (Aalto University, Finland); Oili M.E. Ylivaara (VTT Technical Research Centre of Finland Ltd, Finland); Markku Ylilammi, Virpi Korpelainen (VTT Technical Research Centre of Finland); Eero Haimi, Emma Verkama (Aalto University, Finland); Mikko Utriainen (VTT Technical Research Centre of Finland); Riikka Puurunen (Aalto University, Finland)

Atomic layer deposition (ALD) has an excellent inherent conformality among deposition techniques. Yet ALD’s conformality is not self-guaranteed. To experimentally analyze the conformality, various latera-high-aspect-ratio (LHAR) test structures have been developed.1

This work aims (i) to introduce the 3rd-generation microscopic LHAR conformality test chips, PillarHall-3, which was improved from the 1st-generation concept2 but not yet reported in detail in a scientific publication, and (ii) to investigate the effect of experimental parameters on the conformality of alumina ALD thin films. PillarHall-3 consists of a lateral channel of 500 nm (nominal height) under a polysilicon membrane supported by silicon pillars. For the typical nominal height of 500 nm, the aspect ratio is up to 10000:1. We will present investigations on how process parameters (number of ALD cycles, Me3Al (TMA) pulse time, and purge time) and physical dimension of test chips influence the TMA-H2O alumina ALD process at 300°C. We have re-implemented the model of Ref. 3 in Matlab and extract the lumped sticking coefficient from experimental data in this work. We analyzed the saturation profile by spectroscopic reflectometry line scans (spot size ca. 5 µm) and the elemental profiles by SEM-EDS after removal of the top membrane. We measured the surface topography by atomic force microscope (AFM). We will report uncertainty components and their effects on conformality analysis.

For the different number of ALD cycles, the penetration depth at 50% of film thickness, PD50%, decreased with increasing film thickness. This is explained by the narrowing of the channel by the film and a thereby higher aspect ratio experienced by the process.3 For increasing TMA pulse times, the overall shape of the saturation profile changed inferring the change of film growth mechanism from TMA-limited to H2O -limited growth. For different purge time, the height of the constant thickness region and growth per cycle slightly decreased when the purge time increased, while PD50% increased. The overall shape of the saturation profile remained the same.

The PillarHall-3 design presents quick means to characterize the saturation profile of ALD processes and allows one to experimentally extract a slope at PD50%, which is related to the fundamental kinetics of the ALD growth process.4

1. V. Cremers, R. L. Puurunen, and J. Dendooven, Appl. Phys. Rev., 6, 021302 (2019).

2. F. Gao, S. Arpiainen, and R. L. Puurunen, J. Vac. Sci. Technol. A,33, 010601 (2015).

3. M. Ylilammi, O. M. E. Ylivaara, and R. L. Puurunen, J. Appl. Phys., 123, 205301 (2018).

4. K. Arts et al. J. Vac. Sci. Technol. A, 37 (2019). View Supplemental Document (pdf)
AF-MoP-17 Growth and Characterization of Aluminum Nitride using TMA, and NH3 by Atomic Layer Deposition
Yu-Chiao Lin, Chi-Chung Kei, Chan-Yuen Chang, Cheng-Ye Yang, Chien-Lin Chen (National Applied Research Laboratories, Republic of China)

Aluminum nitride (AlN) has attracted lots of attention because of its excellent chemical, electrical, and optical properties. Wurtzite AlN is a wide direct-bandgap material which is a promise material for deep-ultraviolet, 5G resonator/filter and surface acoustic wave devices. We have deposited AlN films on Si(100) substrates by home-build atomic layer deposition (ALD) using TMA and NH3 as a nitriding agent. The thickness and refraction index were measured by ellipsometry. The composition was determined by energy-dispersive X-ray spectroscopy (EDS). The surface and cross-sectional images were analyzed by scanning electron microscopy (SEM) and transmission electron microscope (TEM), respectively. The SEM image (shown in Figure 1) shows that AlN film present a uniform and continuous surface. According to the cross-sectional TEM image (shown in Figure 2), a dense and continuous AlN film was deposited. The growth rate was about 0.97Å/cycle at 400℃. The refraction index was about 1.94 that declined from theoretical value could be attribute to the presence of oxide in the AlN/Si interface and the top of AlN film (the cross-sectional EDS data was shown in Figure 3).

View Supplemental Document (pdf)
AF-MoP-18 Thermal SiNx Using NH3 and Anhydrous Hydrazine as Nitriding Agents
Su Min Hwang, Dan Le, Arul Ravichandran, Aswin Kondusamy (University of Texas at Dallas); Dan Alvarez, Jeffrey Spiegelman (RASIRC); Jiyoung Kim (University of Texas at Dallas)

Silicon nitride (SiNx) is perceived as a quintessential component in microelectronics for scaling next generation ultra-large-scale integration (ULSI) technology with possible applications as a passivation layer, sidewall spacer, and contact etch stop liner.1 Deposition of ultrathin and uniform SiNx films with high conformality is required for ULSI due to application restrictions, such as thickness and complicated surface areas.2 In general, the plasma-enhanced ALD (PEALD) process allows a low temperature process for such film deposition, but potentially results in poor conformality, creates surface damage, and is not applicable on sensitive substrates. The thermal ALD (tALD) process can overcome these issues; however, it requires a higher deposition temperature range for SiNx films.1 In this study, we focus on establishing a high quality SiNx tALD deposition process at relatively low temperatures (350 °C – 650 °C) for ammonia (NH3) and evaluate the properties of films deposited using hydrazine. Furthermore, films grown by hydrazine will be compared to films grown with ammonia with the same process conditions, silicon precursor, and temperature range.

In this experiment, hexachlorodisilane (HCDS) is used as the source of silicon, along with BRUTE hydrazine and ammonia as the precursors for nitrogen. A PEALD/ tALD chamber (Rocky Mountain Vacuum Tech Inc.) is employed to deposit SiNx films with a working pressure between 150 – 160 mTorr. Furthermore, to eliminate the possibility of condensation of precursor or residual products, the chamber walls and precursor delivery lines are heated to 120 °C and 100 °C, respectively. The experimental temperature range is established from 350 °C to 650 °C. At the temperature range of 450 °C – 550 °C, the index of refraction (R.I.) of SiNx films deposited using hydrazine is up to 2.0, which further coincides with the earlier reported result, with a R.I. as high as 2.1.2 Additionally, the atomic force microscopy (AFM) indicates that films grown with hydrazine have a smooth surface, with an RMS as low as 0.14 nm. It is further determined that the SiNx films roughness is independent of temperature. Moreover, the GPC of SiNx films deposited using ammonia is less than half of the GPC of films grown with hydrazine at a temperature range of 500 °C – 550 °C. The experiment details and detailed results will be presented.

We thank RASIRC Inc., for sponsoring this project and supplying the BRUTE hydrazine source.

1 X. Meng et al., Mater. 9 (12), 1007 (2016).

2 S. Morishita et al., Appl. Surf. Sci. 112, 198 (1997).

AF-MoP-19 Observation of Transient Response of Langasite Crystal Microbalance (LCM) at High Temperature
Masafumi Kumano (Tohoku University, Japan); Kousuke Hikichi (Technofine Co. Ltd, Japan); Masaya Omote (XMAT Corporation, Japan); Yuji Ohashi, Akira Yoshikawa, Shuji Tanaka (Tohoku University, Japan)

Langasite Ca3TaGa3Si2O14 (CTGS) is a new candidate for a high temperature microbalance measurement1). It keeps piezoelectricity in a high temperature range even close to its melting point without any phase transition, and a large crystal can be potentially grown in an industrial scale. Therefore, CTGS is a promising material for a microbalance in ALD tools working at high temperature.

The turnover temperature (T0) of the temperature coefficient of frequency (TCF) of CTGS can be adjusted by the cut angle, and the 2nd order TCF is -0.046 ppm/ºC2 at 395ºC. The TCF is comparative to that of BT-cut quartz, but thermal transient signal comparable with a mass loading signal generates, when a pulsed gas flow at high temperature gives relatively large non-stational thermal perturbation to the crystal surface. To find a reliable signal pattern during ALD process, the detail of the transient frequency response must be examined.

Fig. 1 shows a frequency to temperature model diagram of CTGS with and without mass loading (Δm) on the crystal. A CTGS langasite crystal microbalance (LCM) has the resonance frequency maxima (a0 and a1) at T0. In an ALD or ALD-like cycle of TMA/H2O, surface molecular reaction is very fast, and a mass gain Δm in each cycle, 45 ng/cm2 for monoatomic layer TMA2), is so small that the thermal effect can be neglected. Under this assumption, a relatively slow transient response of the LCM may be thermal perturbation through gas molecular heat transfer or radiation heat equilibrium.

In this study, the LCM transient response was observed in three cases; (T0, T)=(323ºC, 327ºC) in case 1, (448ºC, 440ºC) in case 2 and (365ºC, 372ºC) in case 3, where T is reactor temperature. The thermal decomposition temperature of TMA is 323ºC, and ALD cycle was applied only in case 1, while TMA thermally decomposed in cases 2 and 3. Multi-point temperatures around the crystal were controlled within 0.1ºC, which is necessary to stabilize the LCM response for this purpose. As shown in Fig. 2, local heating or cooling occurred on the crystal in each case as expected from Fig. 1. At a larger time scale, the LCM frequency envelope gave a straight line of 1.9 Hz/cycle in ALD at 323ºC, as shown in in Fig. 3.

1) M. Kumano, K. Inoue, K. Hikichi, M. Shimizu and S. Tanaka, ALD/ALE 2019, AF3-MoP1

2) W. Elam and M. J. Pellin, Anal. Chem., 77 (2005) 3531-3535

View Supplemental Document (pdf)
AF-MoP-20 Atomic Layer Deposition of Niobium Nitride Thin Film with NbCl5 and NH3
Moo-Sung Kim, Se-Won Lee (Merck Performance Materials Ltd. Korea, Republic of Korea); Sergei Ivanov (Versum Materials, Inc)

Due to some problems of Cu metal interconnect such as Cu diffusion into Si substrate and poor adhesion on SiO2 substrate, the role of diffusion barrier materials is very important in nano scale process. Accordingly, the transition metal nitride such as TiNx, TaNx, MoNx, WNx and NbNx have been widely studied to solve these problems. Among them, NbNx is one of promising materials because of chemical insensitivity, good thermal stability and mechanical characteristics. Another important point for diffusion barrier is whether it can be conformally deposited on deep trench pattern. ALD method can make a uniform film at a relatively low temperature through self-limiting reaction, even at a trench or hole pattern where the aspect ratio is high.

In this study, we have performed the atomic layer deposition of NbNx thin film with NbCl5 and NH3 at deposition temperature from 300 to 500oC. ALD saturation characteristics, ALD window and linearity of NbNx were investigated on various substrates such as Si, SiO2, Al2O3 and TiN. The thickness and resistivity were measured with XRF and 4-point probe, respectively. To confirm a chemical composition of NbNx film, RBS and SIMS were analyzed. The films have also been characterized with XRD and XRR for crystallinity and film density, respectively. The step coverage was identified with deep trench pattern of high aspect ratio (A/R = 15 ~ 18) by TEM.

The ALD window of NbNx film was observed from 400 to 450oC. The resistivity of NbNx film decreased gradually as the deposition temperature increases. It was ~ 1,900 μohm-cm at 350oC whereas at 500oC, it was only ~ 700 μohm-cm. The chemical composition was formed Nb4N5 at all conditions on Si substrate. Interestingly, Nb:N= 1:1 composition was shown on SiO2 substrate. The Cl impurities decreased from ~7 to 0 at % as the deposition temperature increases from 350 to 500oC. Clear NbN peaks were observed in XRD analysis. These main peaks were well matched with c-Nb4N5 reference, and with SIMS and RBS data. The film density was increased from 6.63, 7.16, and 7.31, at 350, 450 and 500oC, respectively. The step coverage became worse slightly as the temperature increased, and 10Torr chamber pressure showed better step coverage than 20 and 30Torr. More than 95% step coverages at middle and bottom were achieved in deep trench pattern with A/R of 17.

AF-MoP-21 Atomic Layer Deposition of Vanadium Oxides using Vanadyl Acetylacetonate as Precursor
Pi-Chun Juan (Ming Chi University of Technology, Republic of China); Wen-Hao Cho (National Applied Research Laboratories, Republic of China); Chien Cheng Kuo (National Central University, Republic of China); Guo-Ren Li (Ming Chi University of Technology, Republic of China); Chien-Lin Chen, Cheng-Ye Yang, Chi-Chung Kei (National Applied Research Laboratories, Republic of China)

Among the vanadium oxides, VO2 has attracted lots of attention due to its remarkable metalinsulator transition or semiconductor-metal transition behavior. Several thin film deposition techniques including sputtering, evaporation, pulsed laser deposition and chemical vapor deposition have been used to prepare VO2. In this study, vanadium oxides were deposited by atomic layer deposition (ALD) using VO(acac)2 (vanadyl acetylacetonate) and O2 as precursors. The thickness of vanadium oxides thin film at different substrate temperature was measured by spectral ellipsometry. The growth rate of vanadium oxides at 420oC and 380oC were 0.34 nm and 0.14 nm per cycle respectively. The growth rate of vanadium oxides was temperature-sensitive. The surface and cross-sectional images were analyzed using scanning electron microscopy. The composition analysis of vanadium oxides films that fabricated at different substrate temperature were carried out by X-ray photoelectron spectroscopy (XPS). From the narrow scan XPS spectra (Figure 1), the vanadium oxides films deposited at 380oC shows a peak at ~516.3 eV demonstrating the presence of VO2 while the vanadium oxides films deposited at 420oC shows a peak at ~515.7 eV demonstrating the presence of V2O3.

View Supplemental Document (pdf)
AF-MoP-26 Density Functional Theory Study on the Reducing Agent for Atomic Layer Deposition of Tungsten using Tungsten Chloride Precursor
Romel Hidayat, Yewon Kim, Tanzia Chowdhury (Sejong University, Republic of Korea); Soo-Hyun Kim (Yeungnam University, Republic of Korea); Won-Jun Lee (Sejong University, Republic of Korea)

The three-dimensional vertical NAND architecture requires the excellent gap filling of tungsten (W) into the high-aspect-ratio patterns. Tungsten hexafluoride (WF6) has been the tungsten precursor for either chemical vapor deposition (CVD) or atomic layer deposition (ALD) technique. Since WF6 damages the electrical properties of underlying dielectric layers, the fluorine-free tungsten precursor is requested. The use of tungsten chlorides, such as WCl5 or WCl6, can be a potential solution, but it has not been explored to find the suitable reducing agent for these precursors. In this work, we studied various molecules as the reducing agent for the ALD of tungsten using tungsten chloride precursor. We used the density functional theory (DFT) calculation to simulate the reactions between the –Cl* surface group and reducing agents during the second half-reaction of the ALD process. The reducing agents considered in this study include atomic hydrogen (H), hydrogen molecule (H2), silane (SiH4), borane (BH3), trimethylaluminum (TMA), triethylaluminum (TEA), and AlH2(tBuNCH2CH2Nme2). We compared all possible reaction pathways of reducing agents for the removal of chlorine atom from a chlorine-passivated tungsten cluster . We determined the most favorable reaction pathway and found that H, BH3, and SiH4 can be the reducing agents for the ALD of W. Our calculation shows that aluminum compounds would form tungsten carbide film, which agrees well with the literature [1,2]. This study will provide the basis for a better understanding of the selection and the design of the reducing agent for ALD of metal films.

Reference

[1] K.J. Blakeney et al., J. Vac. Sci. Technol. A, 36, 01A104 (2018)

[2] K.J. Blakeney et al., ECS Trans., 86, 41–51 (2018)

AF-MoP-27 Density Functional Theory Study on the Surface Reaction of the Hafnium Precursor with a Linked Amido-Cyclopentadienyl Ligand
Romel Hidayat, Hye-Lee Kim (Sejong University, Republic of Korea); Hohoon Kim, Younghun Byun, Jongsoo Lee (Mecaro, Republic of Korea); Won-Jun Lee (Sejong University, Republic of Korea)

Hafnium oxide (HfO2), a high dielectric constant (k) material, has been used as the gate dielectric of CMOS devices. It also had been used as the capacitor dielectrics in DRAM devices and was replaced by zirconium oxide (ZrO2). Since the ferroelectricity of HfO2-based thin films has recently been demonstrated, the interest in HfO2 has increased again because HfO2-based ferroelectrics have very high k values but are compatible with CMOS processes, unlike perovskite materials. Potential applications are nonvolatile logic, ferroelectric RAM, and DRAM devices. Hafnium chloride (HfCl4) and tetrakis(ethylmethylamino)hafnium (TEMAH) were the most studied as hafnium precursors. HfCl4 is a solid and is concerned about corrosive byproducts. TEMAH showed relatively low ALD temperatures, which limits the densification and crystallization of the deposited film [1]. Therefore, there is an increasing demand for Hf precursors that are capable of high-temperature conformal deposition. In this study, we studied heteroleptic Hf precursors with a linked amido-cyclopentadienyl (Cp) ligand by density functional theory (DFT) to enable high-temperature ALD. Pyrolysis and hydrolysis reactions of Hf compounds were simulated to expect the thermal stability and the reactivity with hydroxyl groups. The precursors with the linked ligand showed higher activation energies for pyrolysis and lower activation energies for hydrolysis as compared with CpHf(NMe2)3. The thermal stability of hafnium precursors was examined by the change in color and 1H-NMR spectrum at elevated temperatures, and the better stability of the precursors with the linked ligand has been confirmed. We also investigated the effects of Alkyl groups in the precursors. Finally, we constructed and optimized a hydroxylated monoclinic HfO2 surface and then simulated the surface reaction of the precursors. Precursors with the linked ligand showed low activation energies for the serial ligand exchanging reactions, which are significantly lower than those of CpHf(NMe2)3. DFT study shows that the Hf precursors with the linked ligand are promising due to their high reactivity and excellent thermal stability.

References

[1] A. Sharma et al., J. Vac. Sci. Technol. A, 35, 01B130 (2017)

AF-MoP-28 Crystallized ZnO Room-Temperature Atomic Layer Deposition and its Application
Kazuki Yoshida, Kentaro Saito, Masanori Miura, Kensaku Kanomata, Bashir Ahmmad, Shigeru Kubota, Fumihiko Hirose (Yamagata University, Japan)

Room temperature ALD (RT-ALD) is a promising method for forming metal oxide films on not heat tolerant flexible substrates [1]. We reported a zinc oxide (ZnO) thin film deposited by an RT-ALD system. ZnO is an oxide semiconductor material with a wide bandgap. It also has high visible light transmittance, low electrical resistivity, and low-temperature deposition is possible. Additionally, ZnO has higher water corrosion resistance compared to alumina (Al2O3). To achieve its full potential as an electronic and barrier materials the film should be crystallized. We developed the ZnO ALD although it was found that the deposited ZnO film was crystallized even by the RT process. In this conference, we show the observation results of crystallization and discuss its applicability.

We used a p-type Si (100) as a substrate of the RT-ALD. All the samples were cleaned by ultrasonic cleaning using acetone, isopropyl alcohol, and deionized water. The substrate temperature was RT during the ALD process. We used dimethylzinc (DMZ) as a precursor and plasma excited humidified Ar as oxidization gas. For one cycle of ALD, the precursor was exposed at 400000 L and the oxidation time was 300 s with an RF power of 200 W. We confirmed the crystallinity of ZnO by using X-ray diffraction (XRD) and high-resolution TEM (HRTEM). The anti-corrosion resistance to water was tested by immersing ZnO and Al2O3 coated Si substrate into hot water (90 ˚C) for 5min respectively.

Figure 1 shows the in-plane XRD pattern obtained from RT-ALD ZnO. We clearly confirm diffraction peaks coming from (100) and (101) [2] at 31.7˚ and 36.2˚. We also confirm weak peaks of (002) and (102) [2] at 34.5˚ and 47.2˚. The cross-sectional HRTEM image is shown in figure 2. We find the crystallized grains in the ZnO layer deposited on amorphous Al2O3. We consider the ZnO is the polycrystal although this film was obtained from the room temperature deposition. We assume that this zinc oxide crystallization in RT is caused by reaction heat at the oxidization of DMZ by plasma excited humidified argon.

Figure 3 shows the results of the hot water corrosion test. The sample with only Al2O3 (Fig. 3. (a)) showed obvious corrosion after immersion, as shown in Fig. 2. (b). In contrast, when ZnO was coated on Al2O3 (Fig. 3. (c)), no corrosion was observed after immersion (Fig. 3. (d)). We succeeded in fabricating the crystallized ZnO thin film with enough water resistance by using RT-ALD. In the conference, we will release a gas barrier rate data with the ZnO and Al2O3 laminated film.

[1] K. Kanomata et al., Applied Surface Science, 308, 328–332, 2014

[2] Garcia Martinez O. et al., Solid State Ionics, 63/65, 442-449, 1993

View Supplemental Document (pdf)
AF-MoP-30 Modelling of Low-Temperature Atomic Layer Deposition of Silicon Nitride using Plasma Excited Ammonia
Kentaro Saito, Kazuki Yoshida, Masanori Miura, Kensaku Kanomata, Bashir Ahmmad, Shigeru Kubota, Fumihiko Hirose (Yamagata University, Japan)

Silicon nitride (SiNx) has been attracting much attention since it is expected as gas barrier and anticorrosion coats. The SiNx film deposition has been performed by chemical vapor deposition (CVD) and atomic layer deposition (ALD). These conventional processes were performed at a temperature of 300 °C and higher. For application of SiNx to organic films, the low temperature (low-T) deposition process has been demanded. A low-T ALD of SiNx using tris(dimethylamino) silane (TDMAS) as a Si precursor and plasma-excited ammonia is studied using multiple internal reflection infrared spectroscopy (MIR-IRAS).

An n-type Si substrate was used as a prism for MIR-IRAS. The size of the prism was 10×45 mm2 and its resistivity was 1000 Ωcm. 45° bevels were formed on its two short edges. The prism was set in a reaction chamber for the measurement. If the IR spectra before and after the processes are defined as Ir and I0, respectively, the absorbance (Abs) was calculated from the equation, Abs = log10(Ir/I0). TDMAS was used as the Si precursor. In the nitridation step, ammonia and argon were mixed with a volume ratio of 7:3, followed by being excited through a quartz tube with an RF power of 250 W and a frequency of 13.56 MHz. The flow rate of the mixed gas was 10 sccm. Ammonia molecules in the mixture were dissociated to NH radicals and some fragments. Plasma excited ammonia was provided in the chamber. All the experiments were performed at RT.

We observed the surface reaction to confirm TDMAS adsorption and examine if the plasma-excited ammonia generated nitride on the TDMAS adsorbed surfaces at RT. Fig. 1 shows IR spectra obtained from a TDMAS adsorbed surface with exposures from 1000 to 400000 L at RT. Peaks at 2964, 2880, and 2805 cm-1 correspond to C-H vibrations in adsorbed TDMAS. The positive peak indicates the TDMAS adsorption on the surface at RT. Fig. 2 shows IR spectra measured from plasma excited ammonia treated TDMAS saturated surface. Negative peaks at 2965, 2878, and 2804 cm-1 indicate that the silicon nitride was generated there at RT. Based on the IRAS data, we discuss the reaction model of the silicon nitride low-T ALD.

View Supplemental Document (pdf)
AF-MoP-33 Investigating the Reaction Chemistry of Atomic Layer Deposited SnOx on Perovskite using In-situ Quartz Crystal Microbalance
Adam Hultqvist, Jesper Jacobsson, Sebastian Svanström, Tobias Törndahl (Uppsala University, Sweden); Ute Cappel (Royal Institute of Technology, Sweden); Håkan Rensmo, Erik Johansson, Gerrit Boschloo, Marika Edoff (Uppsala University, Sweden)

The recent lead halide perovskite solar cell efficiencies have been high, but there is still a big question regarding the device stability under operation. A key to stability is to form high quality interfaces to the perovskite that do not degrade and which do not allow for inter diffusion of elements on either side of the interface. Inorganic materials have seemingly suitable properties, but the resulting interfaces to the perovskite, especially the upper one typically lacks in quality. The reason is that most deposition techniques damage the soft and temperature sensitive perovskite and that the remaining processes are not able to make materials with good enough quality or coverage.

Atomic layer deposition (ALD) would be a good choice to grow conformal inorganic materials on perovskite as it does not require high thermal or kinetic energy. Unfortunately, studies have shown that the perovskite is sensitive to the reaction chemistry of most low temperature ALD processes. The thermal Sn(N(CH3)2)4 and H2O process used to grow SnOx seems promising as it does not damage the perovskite bulk and is able to reach a saturated growth per cycle without much process inhibition. However, the resulting solar cell performance is poor. Previous studies suggest that this relates to the non-ideal perovskite/SnOx interface that forms as a result of the ligands reacting detrimentally with the perovskite surface during the initial ALD cycles. In this contribution we further analyze these initial cycles using in-situ quartz crystal microbalance (QCM) monitoring complemented by hard and soft X-ray photoemission spectroscopy (SOXPES and HAXPES) and resulting solar cell performance.

To mimic the conditions of the solar cell fabrication, the QCM crystals are coated with a partial solar cell stack with the bare perovskite on top and are then placed inside the ALD reactor. The initial growth dynamics are monitored as a function of the growth temperature, chemical conditions, perovskite types and capping layers as PCBM, C60 and the recently popular nucleation layer PEIE. These results are directly correlated to solar cell performance and to the chemical environment of the buried perovskite/thin SnOx interface measured by SOXPES and HAXPES. The perovskite integrity before and after the saturated growth per cycle are also analyzed by QCM to get a better understanding of the complete process.

AF-MoP-34 Development of Indium Precursors for Deposition of Indium Oxide
Takashi Ono, Keishi Yamamoto, Sunao Kamimura, Christian Dussarrat (Air Liquide Laboratories, Japan)

Indium oxide is a major component of many technologically important thin films. Owing to its electrical properties and transparency in the visible region, it has been widely studied as transparent conducting oxides (TCOs), thin-film transistors (TFT), gas sensors, and catalysts. Among these various applications, we can find binary, ternary and even quaternary materials like InZnO, InGaO, InSnO (ITO) and InGaZnO, either for low temperature (e.g. TCO) or high temperatures (channel material) applications. Recently, there has been an enormous interest in the next generation of see-through transparent electronics such as transparent TFTs, organic light-emitting diodes (OLEDs) and photovoltaics.

In this study, we have carried out the investigation of several types of indium precursors, and found that some of them have suitable thermal properties. Those were evaluated by atomic layer deposition (ALD) process using ozone as oxygen source. Impurities in the deposited films were found to be below the detection limit by XPS, RBS/HFS measurements. Film characterization and thermal properties of synthesized precursors will be reported. The relationships between molecular structures and the corresponding GPC will be discussed.

View Supplemental Document (pdf)
AF-MoP-36 Studying the Co-Reactant Role During Plasma-Enhanced Atomic Layer Deposition of Palladium
Ji-Yu Feng, Matthias Minjauw, Ranjith K. Ramachandran, Michiel Van Daele, Hilde Poelman (Ghent University, Belgium); Timo Sajavaara (University of Jyväskylä, Finland); Jolien Dendooven, Christophe Detavernier (Ghent University, Belgium)

Palladium (Pd) is an attractive noble metal for a wide range of applications in catalysis, nanoelectronics and energy storage devices. ALD has emerged as a powerful method for the synthesis of Pd nanostructures with precise dimensions control. Unlike most other noble metal processes, the main Pd ALD process using palladium(II)hexafluoroacetylacetonate [Pd(hfac)2] is based on true reducing surface chemistry, involving a reducing co-reactant such as H2.1 Based on the current understanding, H surface species from the dissociation of H2 by the Pd surface during the co-reactant step, can eliminate ligands of incoming Pd(hfac)2 molecules during the precursor pulse, releasing Hhfac as reaction product.2

This work investigates the role of different plasmas and plasma sequences governing the Pd-PEALD growth, using Pd(hfac)2 as precursor. Except for the process using only O2 plasma (O2*), ALD processes including a reducing agent (H2* and NH3*) enable successful Pd growth at 100℃, showing a clear difference in GPC ranked from high to low as follows: O2*+H2*>NH3*≈H2*>H2*+O2*, and a trend of increasing GPC with temperature for the first three processes in contrast to a stable GPC for the H2*+O2* process. Moreover, the GPC of processes with a H2* step prior to the precursor pulse decreases when longer pumping times are applied after the H2* pulse. XPS and ERD reveal the presence of carbon in the films deposited by the processes using only reducing agents (H2* and NH3*), while no hydrogen could be detected. Almost no carbon remains in the films deposited by the processes with an additional O2* step, in accordance with previous work.3

The observed differences in GPC and film composition are linked to the surface chemistry based on in vacuo XPS characterizations. In short, O2* removes most of the precursor ligands and its fragments, and oxidizes the Pd surface. H2* is able to reduce an oxidized Pd surface and can remove fluorine and oxygen on the surface, but some carbon remains. The highest GPC is achieved when a clean metallic Pd surface is exposed to the precursor, while oxygen and carbon species on the surface prove to be growth inhibitors. The key growth facilitator is hydrogen which resides on the Pd surface in those processes with the H2* step directly before the precursor pulse. Moreover, the amount of hydrogen on the Pd surface available for the precursor reaction is an important factor in determining the GPC dependence on temperature and pumping time.

Journal of Chemical Physics2017, 146, 052822.

2 Elam, J. W. et al. Thin Solid Films2006, 515, 1664-1673.

3 Weber, M. J. et al. Journal of Physical Chemistry C2014, 118, 8702–8711.

View Supplemental Document (pdf)
AF-MoP-37 Plasma Enhanced Atomic Layer Deposition of Carbon Incorporated Silicon Oxynitride (SiON) Thin Films Using Novel Organochlorodisiloxane Precursors
Zhiyang Qin (The University of Texas at Dallas); Su Min Hwang, Arul Ravichandran, Dan Le, Yong Chan Jung (University of Texas at Dallas); Byung Keun Hwang, Lance Lee, Xiaobing Zhou (DuPont); Jiyoung Kim (University of Texas at Dallas)

Incorporating carbon to lower dielectric constant and maintain good wet etch resistance and high thermal and electrical stabilities of SiNX films has recently been considered as an effective method to reduce resistive-capacitive (RC) delay and parasitic capacitance which deteriorate device performance. However, the films deposited from some aminosilane precursors and O3 cannot retain C content above 150°C[1], and plasma enhanced atomic layer deposition (PEALD) of carbon incorporated Si-based dielectric films is found to be challenging after three approaches, (1) use of C-containing Si precursor with N-containing plasma, (2) use of a three-step process consisting of sequential Si precursor exposure, C precursor exposure and N-containing plasma exposure and (3) use of a Si precursor with CO/O2 plasma, were evaluated[2]. Furthermore, the thermal stability of the carbon in the resultant PEALD films was not examined.

In this research, two novel C-containing Si precursors, 1,1,3,3-tetrachloro-1,3-divinyldisiloxane (CVDSO) and 1,3-dichloro-1,3-dimethyl-1,3-divinyldisiloxane (CMVDSO), were investigated using a hollow cathode PEALD system in a temperature range of 230−570 °C. The -CH=CH2 groups in these molecules contain carbon-carbon π bonds which may participate in chemical reactions such as polymerization or hydroamination and be beneficial for carbon incorporation [2], [3] . In the temperature range of 310−480 °C, both CVDSO and CMVDSO exhibit self-limiting growth behaviors with NH /N plasma gas mixture. With both NH3/N2 and N2 plasmas, the thin films deposited at 310 °C from CMVDSO show a carbon content of 5~6% after 60 s gas cluster ion beam sputtering using XPS. The N2 plasma film of CMVDSO has an almost unchanged carbon content after a RTA treatment at 800℃ for 1 min. For CVDSO, only the N2 plasma film exhibits a similar result. These results suggest that both precursors may have the capability to incorporate carbon with a desirable thermal stability in films. The different carbon incorporation behaviors of these two precursors with NH3/N2 and N2 plasmas may be explained by the H radical ions generated in NH3/N2 plasma that can abstract surface -Cl, -CH=CH2 and -CH3 at different rates [4]. The detailed experimental results will be presented.

The authors thank Dupont for providing the financial support of this work and the CVDSO and CMVDSO precursors.

View Supplemental Document (pdf)
AF-MoP-40 Reactive Ballistic Transport in Horizontal Macrotrenches Under ALD and CVD Conditions: A Comparison with Vertical Structures
Angel Yanguas-Gil (Argonne National Laboratory)

Horizontal macrotrench configurations have long been used as substrates to characterize the conformality of thin film growth processes and to extract kinetic information in both CVD and ALD. An attractive feature of macrotrenches is that, as long as transport remains ballistic, they provide a simpler way of characterizing growth profiles while maintaining essentially the same geometry of a vertical trench. However, one important distinction is the geometry at the entrance of the feature, particularly when it is fabricated as a recessed structure. Surfaces near the entrance of the macrotrench can create virtual sources that can affect the transport of species, and under reactive transport can produce differences in film thickness between the top and bottom walls.

In this work we establish a comparison between the ballistic transport inside macrotrenches with different configurations and a conventional vertical trench, both under ALD conditions and under simple CVD conditions characterized by a non self-limited constant sticking probability. In particular, we focus on the impact that geometry has on the effective sticking probability of the structure and on the asymmetry in growth rates between the top and bottom walls. Finally, we benchmark the validity of the diffusive model, and the break down of the diffusive approximation near the entrance.

AF-MoP-44 Understanding the Influence of In-situ Ar-Plasma Annealing Processes on the Film Properties of ALD-Grown AlN Layers
Saidjafarzoda Ilhom, Adnan Mohammad, Deepa Shukla, John Grasso, Brian Willis (University of Connecticut); Ali Kemal Okyay (Stanford University); Necmi Biyikli (University of Connecticut)

In this report we have investigated the impact of in-situ layer-by-layer Ar-plasma treatment on the AlN growth and film properties in a hollow-cathode plasma-assisted ALD reactor. AlN thin films were grown on Si(100) substrates using trimethylaluminum (TMA) as metal precursor and Ar/N2/H2 plasma as the nitrogen co-reactant. Growth experiments have been performed at 200 °C substrate temperature and 100 W rf-power, 30 seconds plasma exposure, and 50/50/50 sccm Ar/N2/H2 plasma gas compositions. Additionally, each unit ALD-cycle was followed by an in-situ Ar-plasma annealing process, which consists of Ar-plasma exposure for 20 seconds in the range of 50 – 300 W rf-power. In-situ and ex-situellipsometry was employed to measure the thickness and optical property variations of the films. Film thicknesses ranged between 33.8 – 46.05 nm with refractive indices of 1.70 – 2.10 scanned over the 50 – 300 W Ar-annealing rf-power. X-ray diffraction (XRD) showed AlN hexagonal wurtzite crystal structure for the non-annealed baseline sample, which had a refractive index of 1.97. On the other hand, AlN films grown with lower in-situ Ar-annealing rf-power (50 – 100W) showed amorphous crystal structure with reduced refractive index (1.70 – 1.76). Interestingly, although increasing the in-situ annealing plasma power (150 – 300 W) led to higher refractive indices (1.95 – 2.10), the films still exhibited amorphous-like crystal properties. Also, samples with Ar-annealing powers of (150 – 200 W) displayed relatively high absorption component within the UV-VIS range of the electromagnetic spectrum. Additionally, x-ray reflection (XRR) method was utilized to analyze the density of the samples, which ranged between 2.91 – 3.14 g/cm3. Furthermore, XPS will be employed to study the chemical bonding and elemental composition of the samples. The role of in-situ Ar-annealing rf-power in film optical behavior and crystal structure evolution will be discussed based on the correlation of spectroscopic ellipsometry, XRD, XRR, and XPS results.

View Supplemental Document (pdf)
AF-MoP-45 Thin-Film Deposition from Mo(CO)6: The Effect of Co-Reactants and Temperature on Film Purity
Phillip Chen, Shawn Nguyen, Bryan Hendrix, Thomas Baum (Entegris, Inc.)

In 1970, it was reported that W(CO)6 and Mo(CO)6 could produce high-purity thin-films with low film resistivity [1]. Contrary to this earliest report, numerous studies with the same precursors demonstrated that the deposited films were highly contaminated with carbon impurities. In subsequent studies, films from these precursors were amorphous with very poor film resistivity [2, 3]. In this work, we report our recent findings on Mo(CO)6 and its deposited films. We specifically explored the effects of substrate temperature, reactor pressure and co-reactant identity towards thin-film purity.

At low substrate temperatures (<300°C), H2, Ar and CO2 co-reactants can alter the ratio of carbon in the deposited films, sometimes depositing cubic Mo2C. Annealing these films can produce cubic or hcp Mo2C, under some conditions. NH3 co-reactant deposited films of lower carbon content. The best as-deposited resistivities from low temperature deposition were around 150μΩ-cm. Annealing films to 800°C, resulted in lower resistivity films for some conditions.

At high substrate temperatures (>500°C), films with low as-deposited resistivity can be achieved. The addition of water as a co-reactant, allows the formation of high-purity Mo films with low film resistivity, 20μΩ-cm. The choice of co-reactants, pulse sequence and pulse times can alter the deposited Mo film properties.

+ Author for correspondence: Phil.Chen@Entegris.com [mailto:Phil.Chen@Entegris.com]

[1] J. Electrochemical Soc., Solid State Science, 117 (5), 1970, 693-700.

[2] J. de Physique IV, Colloqe C2, 1, 1991, C2-865.

[3] J. Electroanalytical Chemistry, 559, 2003, 31-43
AF-MoP-46 Feasibility of Boron Nitride Film Growth at Lower-than 250°C Substrate Temperature via Hollow-Cathode Plasma-ALD: In-situ Monitoring of Plasma Composition Effect
Adnan Mohammad, Deepa Shukla, Saidjafarzoda Ilhom, Brian Willis, John Grasso (University of Connecticut); Ali Kemal Okyay (OkayayTech); Necmi Biyikli (University of Connecticut)

Hexagonal boron nitride (h-BN) can be used as a good lubricant at both low and high temperatures. In addition, h-BN has excellent chemical and thermal stability, which makes it an ideal material for extreme and harsh environments. The conventional synthesis of h-BN is carried out using high-temperature CVD or sintering techniques, both power-hungry methods. Our previous work on h-BN via plasma-enhanced ALD demonstrated as-grown crystalline h-BN deposition above 350 °C substrate temperature, where the boron precursor was most probably facing thermal decomposition. However, at reduced substrate temperatures (250 °C), we did not observe any film growth. Our current study demonstrates that BN film growth is indeed possible even at 200 °C, within a customized remote plasma-ALD reactor featuring large-diameter hollow-cathode plasma source and reduced source-to-substrate distance.

BN thin films are deposited in a hollow-cathode plasma-assisted ALD (HCPA-ALD) chamber with TEB precursor and various nitrogen plasma chemistries including different compositions of N2, H2, and Ar gases. We also employed real-time in-situ ellipsometric monitoring of the deposition experiment, where individual half cycle surface reactions including chemisorption, ligand removal, nitrogen/impurity incorporation, and etching processes could be observed and correlated with the ex-situ measured material properties. The substrate temperature is varied between 200 and 250 ° C, the N2 carrier gas flow is kept at 10 sccm, and Ar-purging gas flow at 50 sccm. Throughout the saturation curve and long-run linearity experiments, the operation pressure was within 0.5 - 1.1 Torr, depending on the plasma gas composition and related gas flows. The saturation studies are carried out to determine the optimized growth conditions, where the growth-per-cycle (GPC) parameter is traced for different precursor pulse time (15 and 30 ms), rf-plasma power (100 W to 250 W) and plasma-gas chemistries (N2-only, N2/H2, Ar/N2, Ar/N2/H2 at varying ratios). The growth recipe for the 1000-cycle long runs in order to achieve thicker films for material characterization is chosen based not only on the average GPC values obtained over 10-cycle periods, but as well on the individual half cycle behavior: clear chemisorption and plasma-assisted ligand removal characteristics. The different plasma compositions studied are (i) Ar/N2 at 50/50 sccm; (ii) N2-only at 50 sccm; and (iii) N2/H2 at 50/10 sccm. The as-deposited films exhibit amorphous character evidenced by XRD measurements. Spectroscopic ellipsometer and XPS studies will be presented as well as post-deposition vacuum annealing to improve crystallinity.

View Supplemental Document (pdf)
AF-MoP-47 Ti-Doped ZnO Thin Films by Atomic Layer Deposition: Growth Mechanism Study and Influence of Process Parameters on Material Properties
Damien Coutancier (IPVF-CNRS, France); Olivier Fournier (IPVF-EDF, France); Shanting Zhang (IPVF-CNRS, France); Simone Bernardini (IPVF-Total, France); Frédérique Donsanti (IPVF-EDF, France); Nathanaelle Schneider (IPVF-CNRS, France)

Transparent conductive oxides (TCO) thin films are used in a wide range of optoelectronic devices. Despite its favourable properties, indium tin oxide has to be replaced due to indium scarcity. One of the main candidates is doped-ZnO, which has tunable properties by adding a large range of elements (Al, Ga, Mg, Ti,…)[1]. Atomic layer deposition (ALD) has been reported to grow Ti-doped ZnO (TZO) thin films by introducing TiO2 cycles into the ZnO matrix. The as-grown TZO films show excellent transmittance and conductivity; their structural, electrical and optical properties have been investigated as a function of the TiO2/ZnO cycle ratio [2–4], the thickness [5] and the deposition temperature[6]. However, no investigations on neither the growth mechanism of ALD-TZO film, nor applications as TCO have been reported.

Here, we report an ALD process to synthetize TZO films from diethylzinc, titanium tetrakis(isopropoxide) and water. The growth mechanism was investigated by in situ quartz-crystal microbalance measurements to monitor the mass changes at every step of the cycle. It evidenced different insertion modes of titanium depending on the precursor introduction, as well as the etching of Zn-Et terminated surface fragments by TTIP. The order of the precursor introduction affects the doping mechanism and consequently the final TZO film properties.

[1] Z. Gao, P. Banerjee, J. Vac. Sci. Technol. A 2019, 37, 050802.

[2] Z.-Y. Ye, H.-L. Lu, Y. Geng, Y.-Z. Gu, Z.-Y. Xie, Y. Zhang, Q.-Q. Sun, S.-J. Ding, D. W. Zhang, Nanoscale Res. Lett. 2013, 8, 108.

[3] Z. Wan, W.-S. Kwack, W.-J. Lee, S.-I. Jang, H.-R. Kim, J.-W. Kim, K.-W. Jung, W.-J. Min, K.-S. Yu, S.-H. Park, et al., Mater. Res. Bull. 2014, 57, 23–28.

[4] K. Bergum, P.-A. Hansen, H. Fjellvåg, O. Nilsen, J. Alloys Compd. 2014, 616, 618–624.

[5] K. Bergum, H. Fjellvåg, O. Nilsen, Appl. Surf. Sci. 2015, 332, 494–499.

[6] G. Torrisi, A. Di Mauro, M. Scuderi, G. Nicotra, G. Impellizzeri, RSC Adv 2016, 6, 88886–88895.

AF-MoP-48 Effect of Deposition Temperature on Titanium Nitride in Plasma-Enhanced Atomic Layer
Heli Seppänen, Elmeri Österlund, Harri Lipsanen (Aalto University, Finland)

Titanium nitride (TiN) is an interesting material in many fields of electronics due to its electrical properties and compatibility with other materials. It has been studied as gate metallization in CMOS transistors [1, 2] and as diffusion barriers for both MEMS [3] applications and copper [4]. Recently, it has also been suggested as a replacement for gold in plasmonic applications [5]. Atomic layer deposited (ALD) TiN is often grown using a thermal process at high temperatures (>300 °C) with TiCl4 and ammonia (NH3) as precursors. However, the process with TiCl4 and NH3 as precursors has not been studied with plasma-enhancement, which also allows lower deposition temperatures.

This study investigates the electrical and microstructural properties of TiN thin films deposited at different temperatures. Additionally, the effect of annealing on the properties is studied. The deposited TiN films are characterized using spectroscopic ellipsometry, X-ray diffraction (XRD) and sheet resistance measurements. The results show a decrease in sheet resistance in the film from 120 Ω/□ to 35 Ω/□ with rising deposition temperature. Furthermore, the crystallinity of the TiN films (Supplementary, Figure 1) is also enhanced with higher temperature.

References:

[1] K. Mistry et al. 2007 IEEE International Electron Devices Meeting, Washington, DC, pp. 247-250 (2007)

[2] J. K. Schaeffer et al. J. Vac. Sci. Technol. B, 21.1, pp. 11-17 (2003)

[3] V. Merie et al. Appl. Surf. Sci., 358, pp. 525-532 (2015)

[4] M. Birkholz et al. Adv. Funct. Mater., 21, pp. 1652-1656 (2011)

[5] W.-P. Guo et al. ACS Photonics, 6, 8, pp. 1848-1854 (2019)

View Supplemental Document (pdf)
AF-MoP-50 Infrared Spectroscopy of SiNx Grown by Atomic Layer Deposition on Structured Substrates
Yuji Otsuki, Yusuke Suzuki, Munehito Kagaya, Kentaro Oshimo, Hiroki Murakami, Kenji Ouchi (Tokyo Electron Technology Solutions Limited, Japan)

In the microelectronics industry, microfabrication is performed on nanometer scales, and it is often necessary to grow a film on a nanoscale structured substrate such as a line-and-space pattern and a via hole. In the case of film growth on a structured substrate, the uniformity of film quality is important, and film quality improvement requires understanding of the physical properties of the film. However, few methods exist for characterizing films with complex structures, particularly sidewalls and bottoms of trenches. Energy dispersive X-ray spectrometry provides information on elemental composition, but does not provide details on physical properties or structure such as chemical bonds. Therefore, typical practice is to examine the detailed physical characteristics of the film on the blanket wafer instead of the structured sample, and to infer the physical characteristics of the structured sample from the results of the blanket wafer examination.

Vibrational spectroscopy is a non-invasive technique and is applicable to semiconductors and insulators. It can be used not only to identify chemical bonds, but also to investigate microscopic structures such as bond length and bond angle. Therefore, vibrational spectroscopy of a blanket sample is typically used to estimate the film quality of a structured sample. To our knowledge, however, few studies apply vibrational spectroscopy to the pattern sample itself.

In this study, we applied infrared (IR) spectroscopy to structured samples themselves and evaluated the physical properties directly. SiNx grown by plasma-enhanced atomic layer deposition was selected as a model sample, and grown on both bare-silicon and line-and-space-patterned substrates. It became clear that the IR spectral line shapes differed between the blanket and structured samples. The intensity ratio between Si–N stretch vibration and vibration of impurities in the film suggests that the number densities of NH, NH2, and SiO species of the structured sample were higher than those of the blanket sample. This indicates that the film quality of the structured sample was lower than that of the blanket sample. This disparity may be due to the unlikelihood of ions or radicals reaching the side and bottom of the trench during deposition, resulting in insufficient nitridation. This method accomplished the challenging task of directly measuring the physical properties of a film grown on the side and bottom surfaces of a trench, and showed the importance of such a measurement.

AF-MoP-51 Enabling Strong Magnetoelectric 2-2 Composites Made of AlN Films Grown by Plasma-Enhanced ALD on Magnetostrictive Foils for Energy Harvesting Applications
Tai Nguyen, Noureddine Adjeroud, Sebastjan Glinsek, Yves Fleming, Jérôme Guillot, Jérôme Polesel-Maris (Luxembourg Institute of Science and Technology, Luxembourg)

Synthesis of AlN films with controlled crystalline orientation is always challenged by demanding high deposition temperatures (>300°C) and the presence of impurities such as carbon and oxygen [1, 2]. Recent studies presented the growth of preferably oriented (002) AlN films at 250°C by plasma-enhanced ALD (PEALD) [3]. However, the piezoelectric (PE) response of such ALD layers has not been demonstrated yet. Our current study highlights the effect of thermodynamic parameters on growing the preferentially (002) oriented AlN film, then measuring its direct piezoelectric coefficient e31,f. By using the highly conformal coating and the low temperature growth by PEALD, we were able to design 2-2 magnetoelectric (ME) composites with a high-quality interface by stacking AlN films on 15μm-thick nickel, 12.5μm-thick iron, and 15μm-thick cobalt foils. In these 2-2 ME composites, the interface between the magnetostrictive layer and the PE layer plays a crucial role to improve the ME coupling [4]. Yet, it can be easily deteriorated by poorly conformal coating techniques and high-temperature processes because of roughness, lattice mismatch, and a large difference in thermal expansion coefficient between both materials [4]. Therefore, the PEALD processing emerges as a very suitable methodology to solve the above-mentioned issues.

In the present work, AlN films were grown by PEALD with control of the deposition temperatures and the purging time between the trimethylammonium pulse and the plasma discharge as critical parameters to achieve (002)-oriented films (Table 1). XRD results (Fig. 1) shown that the preferably (002) oriented film grown as increasing the deposition temperature as well as the purging time. The XPS surveys (Fig, 2) confirm the high-quality AlN films with low impurities level (1% of carbon and 6-7% of oxygen). The direct e31,f coefficient is evaluated by the 4 point-bending method setting up on an aixACCT instrument. The highest e31,f of 0.37 C.m-2 was obtained for a 590nm-thick AlN film processed at 250 °C with the purging time of 30s (Fig. 3). The ME transverse coefficient was measured out of resonance by exposing the 2-2 composite to a DC bias magnetic field varying from -180 to 180 Oe superimposed by a weak AC magnetic field of 8.5 Oe at 46 Hz (Fig. 4). The ME transverse coefficients were measured at 2.8, 0.3, and 0.15 V.cm-1.Oe-1 for AlN films deposited on nickel, iron, and cobalt foils, respectively. Although the ME composites are made by magnetostrictive and piezoelectric materials with intrinsic moderate performances, the ME coefficient would be strong enough for utmost promising application devices as energy harvesters and magnetic sensors.

View Supplemental Document (pdf)
AF-MoP-53 On the Fundamentals of ALD: The Importance of Getting the Picture Right
Riikka Puurunen (Aalto University, Finland); Ruud van Ommen (Delft University of Technology, Netherlands)

Atomic layer deposition (ALD) has become of global importance as a fundamental building block for example in semiconductor device fabrication, and also gained more visibility (e.g., the Millennium Technology Prize 2018). In recent years, the number of ALD processes has increased, new groups have entered the field, and fundamental insights have been gained. At the same time, significantly varying views exist in the field related to the description and meaningfulness of some core ALD concepts. Open, respectful but critical scientific discussion would be needed around these concepts - for example at this AVS ALD/ALE conference, the world’s largest conference on ALD.

The discussion on terminology of ALD that started in the 2005 surface chemistry review [1] is continued in this contribution, taking into account recent progress reported in leading reviews such as Ref. 2. We start by considering the concept of “ideal ALD”. How should it be defined so that the well-recognized practical benefits of ALD are maintained, while no unnecessary utopian requirements are created? We propose that the repetition of well-separated saturating, irreversible chemisorption reactions (which by definition saturate at a monolayer of the chemisorbed species) is sufficient to reproduce the benefits of ALD. A requirement of “full monolayer growth” (of the ALD-grown material), progressed e.g. in numerous cartoons of ALD, is not needed. There should also be no reason to expect a constant growth per cycle (GPC) within the ALD window (the saturating chemistry is typically weakly temperature dependent), although such a scheme is repeatedly reproduced in the literature.

Other fundamental concepts will be pointed out, where mix-ups have been created. For example, although the GPC (or etch per cycle in Atomic Layer Etching) is a saturation-related concept and not a time-related kinetic parameter, Arrhenius plots have been sometimes created to extract “activation energies” of some process from these “growth/etch rates (per cycle)”. Also, “Langmuir adsorption” has been adopted as a way to model ALD in a simplified, lumped way. Notably, Langmuir adsorption assumes no interaction between adsorbed species, contrasting some recent discussions of “cooperative effects” in ALD. Also, concepts of “adsorption isotherm” and amount adsorbed vs. time (“saturation curve”), although fundamentally different, have been mixed.

We hope that the discussion on the fundamentals of ALD will be intensified, and that the discussion will help the field progress and flourish in the future.

[1] Puurunen, J. Appl. Phys. 97 (2005) 121301.

[2] Richey, de Paula, Bent, J. Chem. Phys. 152 (2020) 040902.

AF-MoP-59 In-situ Real-Time and Ex-situ Spectroscopic Analysis of Al2O3 Films Prepared by PEALD
Paul Plate, Franziska Naumann, Johanna Reck, Hassan Gargouri, Bernd Gruska, Adrian Blümich (SENTECH Instruments GmbH, Germany); Ali Mahmoodinezhad, Christoph Janowitz, Karsten Henkel, Jan Ingo Flege (BTU Cottbus-Senftenberg, Germany)

In-situ techniques provide a very powerful means to further improve atomic layer deposition (ALD) processes and their preparation equipment. Ellipsometry has widely been used to investigate thin films prepared by ALD. Consequently, in-situ ellipsometry has been developed to monitor ALD growth in operando.[1]

In this work in-situ real-time ellipsometry (irtE) with a very high time resolution of 24 ms was used to investigate the growth of inductively coupled plasma enhanced (ICPE) ALD of Al2O3. Utilizing this technique, it is possible to resolve each step of the ALD cycle in detail and in real-time. The combination of in-situ measurements with ex-situ ellipsometry (UV-VIS-NIR-SE and IR-SE) and X-ray photoelectron spectroscopy (XPS) allows correlating surface effects observed by in-situ ellipsometry with the bulk properties of the ALD layers. For benchmarking, an Al2O3 film deposited by thermal ALD (T-ALD) with a very similar equipment was used.

The ICPEALD films were deposited at substrate temperatures ranging from 80 to 250 °C, while the reference T-ALD layer were prepared at 200 °C. The influence of the plasma exposure step was studied by varying plasma parameters such as plasma power and pulse duration.

The Al2O3 ICPEALD process exhibits a higher growth rate than its thermal counterpart. However, XPS measurements revealed an increase in the amount of incorporated carbon compared to the layer prepared by T-ALD. Simultaneously, the refractive index decreased. In-situ measurements indicated an adsorption process, which is not typical for an ALD process. Additionally, IR measurements pointed to the presence of CHx species, in agreement with the XPS results. A correlation was found between the duration and power of the plasma pulse and the non-stoichiometric composition of the ICPEALD aluminum oxide films. The irtE technique was successfully used to minimize the incorporated carbon and improve the film quality by optimizing the plasma parameters, resulting in high-quality Al2O3 layers. [2]

Reference

[1] E. Langereis, J. Phys. D: Appl. Phys. 42 (2009) 073001

[2] F. Naumann, J. Vac. Sci. Technol. B 38(1), (2020) 014014

AF-MoP-60 Conformality of TMA/H2O and TMA/O3 Processes Evaluated using Lateral High-Aspect-Ratio Structures
Sakari Lepikko (Aalto University, Finland); Oili M.E. Ylivaara (VTT Technical Research Centre of Finland Ltd, Finland); Jihong Yim, Emma Verkama (Aalto University, Finland); Mikko Utriainen (VTT Technical Research Centre of Finland); Riikka Puurunen, Robin Ras (Aalto University, Finland)

Atomic layer deposition (ALD) is a fast-growing technique in manufacturing modern electronics due to its ability to produce uniform and conformal thin films with sub-nanometer precision even within high-aspect-ratio cavities. However, reaction kinetics and deposition parameters set the limits how deep the film can be grown conformally within a high-aspect-ratio structure. In this work, we use lateral high-aspect-ratio structure for comparison of thickness profiles of two aluminum oxide Al2O3 deposition processes: trimethylaluminum Al(CH3)3 (TMA) with either water H2O (denoted as TMA/H2O) or ozone O3 (denoted as TMA/O3) as co-reactants. The processes are performed with Veeco-CNT Savannah S200 ALD reactor on PillarHall® LHAR3 test structures in otherwise the same conditions except for the co-reactant pulse. This structure has a lateral trench with gap height of 500 nm and depth of 1 mm, resulting in aspect ratio of 2000.

The thickness profiles obtained with spectroscopic reflectometry show four main differences between the processes. The initial plateau at low depths describes the growth per cycle (GPC). It is 30% higher for TMA/H2O than for TMA/ O3. Since the TMA dose is the same in both processes, we conclude that O3 generates less hydroxyl groups than H2O, which affects GPC [1]. The plateau is followed by a steep slope. The slope at half-thickness value is related to the sticking coefficient of limiting reactant [2]. The slope in this case corresponds to the sticking coefficient of TMA in both processes, indicating that TMA is the limiting reactant in these deposition conditions. The depth of half-thickness value describes the diffusion length of the reactants under the deposition conditions. This value is slightly higher for TMA/O3 even though the limiting TMA dose is the same in both processes. TMA/O3 diffuses therefore slightly longer into trenches than TMA/H2O. However, the total volume of deposited film remains smaller for TMA/O3 due to smaller GPC. The total area beneath the thickness profile curve, which equals to cross-sectional area of the film, for TMA/O3 is 20% smaller than for TMA/H2O.

Lastly, TMA/H2O is compared to another TMA/H2O process deposited in Picosun R-150 ALD reactor on a similar PillarHall® structure [3]. The processes are otherwise nearly identical except for half-thickness depth. This is due to larger dose used in the Picosun reactor increasing the diffusion length of precursors.

[1] R.L. Puurunen, Appl. Surf. Sci 245 (2005) 6-10

[2] K. Arts, V. Vandalon, R.L. Puurunen, M. Utriainen, F. Gao, W.M.M. Kessels, H.C. Knoops, J. Vac. Sci. Technol. A 37 (2019) art. 030908

[3] J. Yim, O.M.E. Ylivaara et al., manuscript in preparation

View Supplemental Document (pdf)
AF-MoP-62 Atomic Layer Modulation using Steric Hindrance of Precursors
Han-Bo-Ram Lee, Chi Thang Nguyen (Incheon National University, Republic of Korea)

Atomic layer deposition (ALD) is a technique frequently used through the supercycle manner to fabricate multicomponent thin films. The stoichiometry of thin film can be controlled by changing the ratio of each ALD cycle. These days, most of applications require reduced film thickness with high stoichiometric uniformity in few nanometers. However, the conventional supercycle approach has limitations in reduction of thickness because it requires a certain thickness to achieve the desired stochiometric uniformity. In addition, the stoichiometric uniformity of the multicomponent ALD films does not well matched with the calculated value by the cyclic ratio due to unknown mixing mechanisms. In this work, we proposed a different approach by ALD using steric hindrance effects of precursors. Two precursors with different molecules size are sequentially exposed to the surface, and the stoichiometry of the film is determined and controlled by the adsorption coverage ratio of precursors based on steric hindrance of two precursors. The experiments were conducted using a ruthenium precursor, dicarbonyl-bis-(5-methyl-2,4-hexanediketonato)Ru(II) (Tanaka Kikinzoku Kogyo) with two Al precursors, trimethylaluminum (TMA) and dimethylaluminum isopropoxide (DMAI) (Lake Materials). The adsorption coverages by steric hindrance effects of precursors on the SiO2 substrate surface were simulated by Monte Carlo (MC) method. The density functional theory (DFT) calculation was also performed to estimate the favorable adsorption of each precursor on the surface, supporting the experimental results and interpretation of atomic layer modulation (ALM) thin films. The theoretical calculation results were consistent with the analyzed stoichiometry of the films. The results in this work have a big potential to fabricate a nanometer-thick multicomponent ALM film for various applications.

View Supplemental Document (pdf)
AF-MoP-63 Tungsten Films Grown by Plasma-Enhanced Atomic Layer Deposition with Newly Synthesized Metalorganic and Halide Precursor
Yujin Lee, Seunggi Seo, Taewook Nam, Hyunho Lee, Hwi Yoon, Sanghun Lee (Yonsei University, Republic of Korea); Jin Hyung Seo, Jang Hyeon Seok (Hansol Chemical, Republic of Korea); Hyungjun Kim (Yonsei University, Republic of Korea)

For continuous scaling down of semiconductor devices, metal deposition has been developed as a plug filling process for interlayer connecting. Tungsten (W) has a wide range of industrial applications since it has a relatively good electrical conductivity and a high electromigration durability due to its high melting point of 3380 °C. [1] Among various techniques, atomic layer deposition (ALD) has been investigated to find the most feasible way to grow uniform, dense, conformal, and conductive W thin film to thicknesses of a few nanometers in more complicated structures, since its growth mechanism is entirely based on self-limited surface reaction.

To date, several W precursors have been employed. Among them, the halide precursors have been widely used as precursors for metal deposition since it can fabricate a high-purity film compared to metal organic precursors. Tungsten hexafluoride (WF6) is the most widely reported halide-based precursor to date due to its simple structure and high reactivity. However, the toxic by-product (HF) can provoke the interfacial Si consumptions and corrosion of devices. In this respect, in halide-based precursors, fluorine (F)-free tungsten precursors have recently received attention, but study on development of F-free tungsten precursor is still in its infancy. In contrast, the organic precursor is free from the formation of corrosive by-product. However, the carbon species derived from the organic ligand of the precursor can deteriorate film properties and device performance. For this reason, studies for pure tungsten metal deposition with organic precursors have not been reported. Eventually, since the halide and organic precursors have their own strengths and weaknesses, there has been considerable controversy over the choice of precursors between the two groups.

In this work, we fundamentally investigated PE-ALD process of W on SiO2 substrate, using newly synthesized tungsten halide and metalorganic precursor. We analyzed the growth characteristics, chemical composition, crystallinity, and the results were correlated to the effects of the precursor ligands. In addition, the electrical properties, including resistivity depending on the ALD cycles, and conformality at trench were evaluated for potential application.

References [1] Yang, M. et al. Thin Solid Films 646, 199–208 (2018)

AF-MoP-64 Metal Aminoalkoxide Precursors for ALD Metal Oxide Films
Atsushi Sakurai, Hiroki Sato (Adeka Corporation, Japan); Akio Saito (Adeka Corporation, Republic of Korea); Masako Hatase, Akihiro Nishida, Tomoharu Yoshino, Masaki Enzu, Nana Okada, Atsushi Yamashita (Adeka Corporation, Japan)

Innumerable ALD precursors for metal oxide films have been well investigated over the past two decades and those efforts have contributed to current semiconductor industries. As we anticipate future expansion of ALD processing into photovoltaic, solid-state battery, and power devices, new materials could be helpful to meet various R&D requirements in those areas. For years, we have been investigating many kinds of ALD high-k precursors for Hf, Zr, and Al-oxide films, but the new industries require other kinds of metal precursors for the deposition of oxides of Sn, Ni, and Co, etc. For pure metal film growth, metal alkoxide precursors are not always preferred to O-free precursors in consideration of their poor reactivity with an H2 reducing agent. On the other hand, metal alkoxide precursors could be promising candidates to deposit high purity metal-oxide thin films as they could easily react with O-based co-reactants such as H2O and O3. As a result, we would like to propose metal aminoalkoxides precursors as high purity, high vapor pressure, low melting point, sufficiently thermal stabile and synthetically viable options for high volume manufacturing. During the presentation, we will summarize physical properties (TGA, DSC, etc.) of metal aminoalkoxides: M[OCHRCH2NR’R’’]n(M = Sn, Cu, Ni, Co, Hf, Y, etc.)followed by some ALD processing using O-based co-reactant and metal-oxide film data (XPS, SEM, etc.).

View Supplemental Document (pdf)
AF-MoP-65 Surface Reaction Mechanism during Atomic Layer Deposition of Al2O3 using Water, Methanol, and Ethanol as the Oxidants
Hyungjun Kim, Seunggi Seo, Whang Je Woo, Il-Kwon Oh (Yonsei University, Republic of Korea); Bonggeun Shong (Hongik University, Republic of Korea)

Atomic layer deposition (ALD) is a vapor phase thin film deposition technique based on self-limited surface reaction. Since ALD could deposit thin films with high quality, good uniformity, high conformality, and sub-nanometer thickness controllability, [1] ALD has been regarded as one of the most suitable deposition technologies for semiconductor device fabrication.

Since thin films of alumina (Al2O3) have wide range of applications such as high-k dielectric material for electronic devices, mechanical and chemical protective coatings, diffusion barriers, and optical coatings, [2-5] For the deposition of Al2O3, trimethylaluminum (TMA) have been the most widely used for Al precursor. And water (H2O) is widely utilized as the oxygen source in ALD Al2O3 processes, because H2O shows facile ligand exchange reaction during ALD.

However, ALD Al2O3 processes with H2O reactant showed undesirable substrate oxidation issue. For example, there was an unwanted interface oxide between ALD deposited Al2O3 film and Si substrate. The interface oxide could reduce the dielectric constant of the deposited thin films and increase leakage current density. [6-7] To avoid the oxidation of substrates, it is necessary to develop a new ALD process by using oxidants with lower oxidation potential than that of H2O. Despite its technical importance, ALD Al2O3 processes with weaker oxidants such as alcohols have rarely been investigated. [8] For this reason, the chemical reaction mechanism between surface adsorbed precursor and reactant has not been clearly identified.

In this work, we fundamentally investigated ALD process Al2O3 on Si substrate, using TMA and various oxidants (water (H2O), methanol (MeOH), and ethanol (EtOH)). Furthermore, we investigate the reaction mechanism of various alcohol oxidants during ALD of Al2O3. Density functional theory (DFT) calculations at B97D3 level of theory were performed using Gaussian 09 suite of programs.

Our developed ALD processes showed typical ALD growth characteristics. The saturated growth rates with H2O, MeOH, and EtOH were 1.3, 0.10, and 0.96 Å/cycle, respectively. From this study, we revealed that the beta-hydrogen transfer reaction of EtOH could easily oxidize surface methyl group into surface hydroxyl.

References

[1] H. Kim, I.-K. Oh et al. Jpn. J. Appl. Phys. 53 (2014) 03DA01

[2] W. Vandervorst et al. Microelectron. Eng. 86 (2009) 1789

[3] S.B. Mendes et al. Thin Solid Films 518 (2010) 4935

[4] M. Ritala et al. Corros. Sci. 53 (2011) 2168

[5] T. Nam et al. Carbon 116 (2017) 553

[6] Choon-soo Lee et al. Journal of The Electrochemical Society, 149 6 (2002) C306

[7] Woo-Byoung Kim et al. Adv. Funct. Mater. (2018), 1807271

View Supplemental Document (pdf)
AF-MoP-66 Evaluation and Investigation on Reaction Mechanism of Novel Hf Alkoxide Precursors for Atomic Layer Deposition of HfO2
Hyungjun Kim, Hwi Yoon (Yonsei University, Republic of Korea); Gayeon Lee (Korea Research Institute of Chemical Technology, Republic of Korea); Yujin Lee, Seunggi Seo, Sanghun Lee (Yonsei University, Republic of Korea); Taek-Mo Chung (Korea Research Institute of Chemical Technology, Republic of Korea)

With the scaling down of semiconductor devices, due to the decrease of reliability of gate oxide against electric breakdown and increase of leakage current caused by direct tunneling of electron, SiO2 based dielectric was replaced by high-k dielectrics. Among the various high-k dielectric materials, HfO2 is considered as one of the most suitable material owing to its high dielectric constant, good thermodynamic stability and high bandgap energy. Atomic layer deposition of high-quality HfO2 has emerged as a key technology for ultrathin and high-k gate dielectrics. Among the various type of Hf precursors, alkoxide precursors are considered as attractive precursor to deposit C-free films owing to its strong inherent metal-oxygen bonding. However, conventional alkoxide precursors could not be applied to ALD HfO2 process due to non-saturated growth characteristics caused by undesired reaction. Chain reaction of hydrolytic decomposition that is hydrolysis of alkoxide precursors continuously occurs by water vapor or surface hydroxyl generated from the β-hydride elimination. In addition, alkoxide precursors require relatively high deposition temperatures due to ligand exchange reactions between alkoxide ligands and surface hydroxyl groups due to strong Hf—O bonding but are difficult to apply to ALD due to limited thermal stability. There is a need for new Hf precursors which can overcome the limitations of conventional alkoxide precursors and possess the advantages of alkoxide precursors. In this study, we developed ALD HfO2 process using newly synthesized Hf alkoxide precursors by Korea Research Institute of Chemical Technology as designed for deposition of carbon-free HfO2.New type of β-diketonates ligand was employed to improving thermal stability and inhibition of undesired reactions in ALD process. In addition, Cyclopentadienyl ligand was employed to develop heteroleptic precursor that is most widely employed ligand to improve thermal stability and volatility of precursor. We investigated the impact of ligand substitution on growth characteristics, chemical compositions, film density and crystallinity of ALD HfO2 using new precursors. The electrical properties including the dielectric constant and leakage current density were evaluated for applications. Furthermore, we theoretically investigated the effects of ligand substitution on mechanism of precursor intermolecular reactions which can cause non-saturated growth by density functional theory (DFT) calculations used for revealing the reaction energy and pathways.

References

[1]H.Y. Kim et al. Ceramics International 45 (2019) 5124–5132

[2] S.M.George et al. Eur. J. Inorg. Chem. (2016), 5539–5546

View Supplemental Document (pdf)
AF-MoP-67 Phase-Induced Surface Free Energy Control of Plasma Enhanced Atomic Layer Deposition HfO2 Thin Films
Hyungjun Kim, Sangyoon Lee, Hwi Yoon, Sanghun Lee (Yonsei University, Republic of Korea)

Surface free energy of material is considered one of thermodynamic functions of the equilibrium state between atoms within solid/liquid interfaces, and it is directly related to the wettability of materials. Finely tunable surface free energy of materials can be utilized for various applications in need of controlling wetting behaviors depending on the types of liquids.

Ceramic films on solid surfaces have been used to adjust the wettability of materials as a robust coating. Among them, the control of wettability using hafnium oxide (HfO2) film has been recently studied. However, due to the unpredictable factors stemming from different deposition methods, the surface free energy of HfO2 films still lacks systematic research. Besides, the HfO2 films showed only limited range of contact angles so far. In this paper, the surface free energy of HfO2 films was comprehensively investigated for its determinants. With delicate control of film thickness by plasma enhanced atomic layer deposition (PE-ALD) technique, the changes in surface free energy of HfO2 thin films were analyzed by measuring contact angles, chemical compositions, surface roughness, and film crystallinity. By minimizing ambiguous effects from other factors, we concluded that the surface free energy of PE-ALD HfO2 films was mainly dependent on the changes of film crystallinity. Based on the control of surface free energy induced by film crystallinity, it was demonstrated that PE-ALD HfO2 thin films showed a wide range of wetting behaviors to various types of liquids with different surface tensions.

*e-mail: hyungjun@yonsei.ac.kr [mailto:hyungjun@yonsei.ac.kr]

AF-MoP-69 Room Temperature ALD using High-Purity Ozone Gas
Naoto Kameda, Takayuki Hagiwara, Ayaka Abe, Toshinori Miura, Yoshiki Morikawa, Mitsuru Kekura (Meidensha Corporation, Japan); Ken Nakamura, Hidehiko Nonaka (AIST, Japan)

Recently, low temperature (<100°C), thin (3 - 50nm) conformal metal oxide film deposition has garnered much attention for its applications as a gate dielectric, gas barrier, and as optically transparent protection on a low-heat-resistant electronic/optical devices, such as GaO high mobility devices and inorganic/organic (laminated) electro-luminescent devices. Until now, it has been demonstrated that surface-adsorbed precursors can be oxidized to metal oxides by energetically & electrically activated radicals (OH or O) generated from remote plasmas (H2O or O2) at low temperatures[1]. However, low temperature ALD faces two challenges: (1) due to the difficulty of exhausting residual water vapor in the chamber, a longer purge time after H2O plasma treatment is required, which reduces the throughput; and (2) there is a tradeoff between radical concentration and the lifetime of the radicals; thus, to keep the uniformity and conformity all the way to the bottom of a high aspect ratio trench, it has been necessary to reduce radical concentration.

To overcome these challenges, we used H2O-free, energetically thermal (equilibrium) and electrically neutral, highly concentrated (≥99%) O3 gas as an oxidizing source. ≥99% O3 gas works as a damage-less oxidizing source to various precursors in ALD processes; H2O, NOx and heavy metal contaminants are 3-4 orders of magnitude lower compared to when conventional ozonizers are utilized. In addition, due to the long lifetime of the O3 gas at <100oC (longer than 10,000 sec), the gas can be applied to batch (e.g. 25 wafers) processing ALD equipment.

We evaluated film qualities of Al2O3 deposited by TMA (Trimethyl Aluminum) and ≥99% O3 at room temperature. The typical growth rate per cycle was 0.16nm/cycle, which is higher than that of O-plasma and H2O ALD at 200oC (0.12nm/cycle) [2].

To validate the dependence of the film quality on ozone concentration used, and the mechanism of the deposition at room temperature, we’ve conducted microscopic analysis using XPS, SIMS and molecular dynamic simulation. We have found that the new energetically allowable chemical path to generate OH radicals using highly concentrated O3 with intermediates from TMA contributes the film quality. The influence of several process parameters and the concentration of ozone gas on the film quality, conformality and electric properties and a water vapor transmission rate will also be discussed detail in the presentation.

[1]Zheng Chen, Haoran Wang, Xiao Wang, Ping Chen, Yunfei Liu, Hongyo Zhao, Yi Zhao & Yu Duan, Scientific Reports 7, 40061 (2017)

[2] S.E. Potts and Gijs Dingemans, J. Vac. Sci. Technol. A 30, 021505 (2012).

AF-MoP-70 Atomic Layer Deposition of Zinc Oxide Thin Films using a Liquid Cyclopentadienyl-Based Precursor, bis(n-propyltetramethylcyclopentadienyl)Zinc
Fumikazu Mizutani, Shintaro Higashi, Nobutaka Takahashi (Kojundo Chemical Laboratory Co., Ltd., Japan); Mari Inoue, Toshihide Nabatame (National Institute for Materials Science, Japan)

Thin-film transistors using amorphous In-Ga-Zn-O (IGZO) has attracted attention due to their high mobility. We have reported the atomic layer deposition (ALD) of In2O3 and Ga2O3 thin films using cyclopentadienyl-based precursors, and these films have negligibly low carbon impurities [1, 2]. To deposit an IGZO thin film, a Zn precursor is required in addition to these precursors. Here, we report the results of the study on ALD using a cyclopentadienyl-based Zn precursor, which is also expected to deposit high-purity ZnO thin films.

As a liquid Zn precursor, bis(n-propyltetramethylcyclopentadienyl)zinc, Zn(Cppm)2, was synthesized. The compound was analyzed using differential scanning calorimetry to determine the thermal decomposition temperature, and it was estimated to be around 240 °C. Therefore, the growth temperature was set to 200 °C, which is the growth temperature of In2O3 and Ga2O3 films [1, 2]. The vapor pressure of the Zn(Cppm)2 was determined by directly measuring the equilibrium vapor pressures of the liquid and gas phases at a constant temperature. From the obtained vapor pressure, the precursor temperature was set to 120 °C.

ZnO thin films were deposited on 150 mm Si wafers with native oxide films, and the ALD process consisted of alternating exposure to Zn(Cppm)2 and oxidants, H2O followed by an O2 plasma (WpO) . Based on the ALD-In2O3 and Ga2O3 films deposition, a ZnO thin film was deposited for 10 cycles with pulse times for Zn(Cppm)2, H2O, and O2 plasma of 0.1, 3.0, and 50 s, respectively. The thickness of the ALD-ZnO film was as thin as 0.19 nm. Compared with GaCp*, which is used for Ga2O3 films deposition [1], Zn(Cppm)2 has a lower reactivity with H2O. Therefore, the H2O pulse time of WpO process was extended to 30 s, and the thickness of the ZnO film consequently increased to 0.27 nm. In the same WpO condition, the ZnO film thicknesses linearly increased to 0.47 and 0.64 nm as ALD cycles increased to 30 and 50, respectively.

For comparison, ALD using solely H2O as an oxidant was also investigated. The film thickness at 10, 30, and 50 cycles were 0.11, 0.20, and 0.21 nm, respectively, and the film growth became saturated around 30 cycles. On the other hand, film growth was possible using solely O2 plasma with a pulse time of 50 s, though the uniformity of film thickness became poorer.

Thus, this Zn(Cppm)2 is promising as an ALD precursor for a high-purity ZnO film.

References

[1] F. Mizutani, S. Higashi, M. Inoue, and T. Nabatame, AIP Advances 9, 045019 (2019).

[2] F. Mizutani, S. Higashi, M. Inoue, and T. Nabatame, J. Vac. Sci. Technol. A 38(2), accepted (2020).

View Supplemental Document (pdf)
AF-MoP-71 High Volatility Precursors for ALD Process of Rare Earth Oxides
Nana Okada, Atsushi Yamashita, Masako Hatase, Akihiro Nishida, Chiaki Mitsui, Atsushi Sakurai (ADEKA Corporation, Japan)

Rare Earth Oxides (REOs) are recognized for their unique characteristics: a wide band gap, high dielectric constant, and high refractive index. As a result of those properties, REOs’ films have been widely investigated for many applications such as a dielectric insulator, superconductor, optical film, and barrier film. Therefore, various rare earth precursors have been developed and suggested for decades.

From the aspects of cost-benefit, homoleptic precursors with Metal-Oxide bonds like M(thd)3 and M(OtBu)3 have been studied. However, they do not have either high reactivity with a co-reactant or high volatility or high thermal stability. On the other hand, metal-aminoalkoxides are widely known having good reactivity and thermal stability. In this work, novel homoleptic rare earth aminoalkoxide precursors with high volatilily, thermal stability, and reactivity was developed.

2-Dimethylamino-2-methylpropanol (dmamp), which is the most common aminoalcohol ligand, was used to synthesize Y(dmamp)3. Low vapor pressure of Y(dmamp)3 (1.0 torr @ 234oC) is a practical issue for commeical ALD processes. Novel YAA-6 precursor was synthesized with a new desinged aminoalcohol lingand. Vapor pressure of YAA-6 was higher than that of Y(dmamp)3 (1.0 torr @ 196oC), which was an significant advantage considering low vapor pressure of REOs’ precursors. Thermal stability of YAA-6 measured by DSC was high (~335oC) enoguh for practical ALD applications. Furthermore, having been heated at 200oC for more than 4 months, YAA-6 did not decompose obviously detected by TG-DTA measurement. YAA-6 precursor was applied to deposited Y2O3 thin film with O3 reactant. Self-limited film growth on Si and SiO2 substrates was observed at 250oC (Fig. 2 (a)). In addition, smooth Y2O3 films were observed by XSEM measurements (Fig. 2 (b)).

As a conclusion, the novel Yttrium precursor with the new aminoalkoxides lignad showed high volatility and thernal stabiltiy. Smooth ALD Y2O3 films were sucessfully deposited by the new Yttrium precursor. Finally, the same aminoalkoxide lingad was applied to other rare earth metal precursors such as DAA-6, EAA-6, TAA-6, BAA-6, and LAA-6. These precursors also showed high volatility and thermal stability (Table 2), which made them promsing candidates for ALD of REOs.

View Supplemental Document (pdf)
AF-MoP-74 Atomic Layer Deposition of Yttrium Oxide Films and their Properties of Water Wettability
Bo Zhao, Felix Mattelaer, Geert Rampelberg, Jolien Dendooven, Christophe Detavernier (Ghent University, Belgium)

Atomic layer deposition (ALD) of yttrium oxide (Y2O3) is investigated, using the liquid precursor Y(EtCp)2(iPr-amd) as the yttrium source in combination with H2O, O2 plasma and H2O plasma. Saturation is confirmed for each investigated reactant. The saturated GPC is 0.74 Å/cycle, 0.83 Å/cycle and 1.03 Å/cycle for H2O, O2 plasma and H2O plasma, respectively (Figure 1). The three processes exhibit a similar ALD window from 150 to 300 ˚C (Figure 2a). All the as-deposited Y2O3 films are pure (with C and N impurity levels below 0.5 at. % ), smooth and with a polycrystalline cubic structure (Figure 2b), while the densities for the plasma-enhanced processes (O2 plasma and H2O plasma) are slightly higher than that of the thermal process .

The as-deposited Y2O3 films are hydrophobic with water contact angles over 90°. The water contact angle gradually increased and the surface free energy gradually decreased as film thickness increased, reaching a saturated value at Y2O3 film thickness of about 20nm. The hydrophobicity was retained during post-ALD annealing at 500 °C in static air for 2 h. Exposure to polar and non-polar solvents influences the Y2O3 water contact angle. The reported ALD process for Y2O3 films may find potential applications in the field of hydrophobic coatings.

The authors would like to thank Air Liquide for supplying the precursor and for interesting discussions.

View Supplemental Document (pdf)
AF-MoP-75 Hollow-Cathode Plasma-Assisted Atomic Layer Deposition of III-Nitrides: How the Substrate and Plasma Chemistry Impacts the Raman Spectroscopy Analysis of GaN and InN Thin Films
Mustafa Alevli, Nese Gungor (Marmara University, Turkey); Saidjafarzoda Ilhom, Adnan Mohammad, Deepa Shukla, Necmi Biyikli (University of Connecticut)

Raman spectroscopy is a powerful analytical method that allows deposited films to be evaluated without complex sample preparation or labeling. However, a main limitation of Raman spectroscopy in thin film analysis is the extremely weak Raman intensity that results in low signal-to-noise ratios. Therefore, it is of critical importance to utilize any opportunity that increases the intensity of the Raman signal and to understand whether and how the signal enhancement changes with respect to the substrate material and material growth conditions employed. Our plasma-enhanced ALD experiment results show clear differences in the spectroscopic response from both GaN and InN films on different substrates. We demonstrate that the substrate surface has a pretty strong influence on the ALD-grown material properties: the spectroscopic Raman bands were observed for the GaN and InN films grown on sapphire substrates, whereas films on silicon substrates do not exhibit any characteristic Raman signal. The upshift of the E2-high peak frequencies is corresponding to a compressive strain within the GaN films grown on sapphire, whereas a tensile strain character in InN films on sapphire is confirmed by the decrease in E2-high mode frequency. In this work, we compare the effect of these two substrates on the structural, compositional, surface, and optical properties of GaN and InN films. Furthermore, the impact of utilized nitrogen plasma composition will be studied via Raman spectroscopy and XRD analysis, which depicts the critical H2/N2 ratio parameter. We’ll also discuss and present our theoretical modeling efforts and compare with the spectroscopic optical measurements.

View Supplemental Document (pdf)
AF-MoP-76 Effect of Ligand Structure on Crystallinity of Atomic Layer Deposited Titanium Dioxide
Sanghun Lee (Yonsei University, Republic of Korea); Wontae Noh (Air Liquide Laboratories Korea, Republic of Korea); Hyungjun Kim (Yonsei University, Republic of Korea)

Titanium dioxide (TiO2) exhibits not only amorphous but also various crystal structures such as brookite, anatase and rutile. Owing to the diversity in crystal phase, TiO2 is a versatile material for photocatalysis, corrosion resistance coatings, microelectronic devices, and hard mask applications and its crystallinity is critical factor for their performance at the same time. With an increase in demand of highly conformal TiO2 coating in these areas, atomic layer deposition (ALD) has been regarded as an indispensable technique for those applications and it led to an explosive growth in research on ALD TiO2. The numerous of researches have been focused on its crystal structure, and it was widely studied that the crystallinity of TiO2 was critically dependent on process temperature, film thickness or oxidants. Of course, the type of precursors also influences on crystallinity, as M. Ritala reported that crystalline growth of ALD TiO2 was dependent on ligand size of alkoxide precursor.[1] However, there have been only a few studies on effect of precursor on TiO2 crystallinity, while various metal organic precursors were developed for ALD TiO2.

In this study, we developed ALD TiO2 process for hard mask application where high etch selectivity and obtaining amorphous phase of TiO2 is very important. We had comparative studies on ALD TiO2 varying titanium precursors, one is based on alkylamide ligand while the other one is based on alkoxide with cyclopentadienyl ligand. To evaluate as hard mask material, we compared etch rate and investigated the crystallinity of ALD TiO2. From our analysis of X-ray diffraction (XRD), we noticed that use of precursor based on alkylamide more easily evokes crystalline phase at the same film thickness and process temperature.

Reference

[1] M. Ritala et al. Chem. Mater., 5(8), 1174-1181, (1993)
AF-MoP-84 Homoleptic and Heteroleptic Alkoxide Precursors for Deposition of Aluminum Oxide Thin Films
Liao Cao, Felix Mattelaer, Geert Rampelberg (Ghent University, Belgium); Fatemeh Hashemi, Ruud van Ommen (Delft University of Technology, Netherlands); Marja Tiitta (VOLATEC, Finland); Jolien Dendooven, Christophe Detavernier (Ghent University, Belgium)

Aluminum oxide is widely used as a barrier layer, dielectric film and encapsulation material due to its excellent chemical and thermal stability, high field strength and high resistivity. Controlled deposition of aluminum oxide thin films via atomic layer deposition (ALD) is a well-developed process with applications ranging from semiconductor electronics to large-scale coatings. The most commonly used precursor for deposition of Al2O3 via a thermal ALD process is trimethylaluminum (TMA). TMA has a high vapor pressure and is very reactive towards most surfaces, acting as an ideal precursor for deposition of Al2O3 at various temperatures. However, TMA is pyrophoric, toxic, corrosive and relatively expensive when aiming to coat surfaces at large scale, such as coating powders or roll-to-roll processes. Thus, alternative low-cost and safe precursors for deposition of alumina would facilitate economical and environmentally sustainable manufacturing.

Alkoxides are a class of precursors with less severe safety issues and lower cost, but also less reactivity than alkyls. In this work, we investigate several homoleptic aluminum alkoxides as precursors for deposition of Al2O3 in combination with different reagents including water, oxygen plasma and ozone. Aluminum tri-isopropoxide (TIPA) presents a growth window of Al2O3 from 140°C up to 300°C by using water and oxygen plasma as co-reactant. Aluminum tri-sec-butoxide (ATSB) also presents a wide growth window from 100°C up to 300°C, but with a small decomposition component above 200°C. The growth rates of deposition processes with both precursors are comparable to the thermal ALD of TMA and water (1.1Ȧ/cycle) and all investigated processes generate smooth and conformal films.

We have also explored specially synthesized heteroleptic precursors in the hope of inheriting certain advantageous aspects from the homoleptic cases, e.g. the thermal stability of TIPA and the high vapor pressure of ATSB. Aluminum di-isopropoxyl-sec-butoxide (AiP2sB1) and Aluminum isopropoxyl-di-sec-butoxide (AiP1sB2) were synthesized and investigated with water and plasma as co-reactant to deposit Al2O3. The results show that the synthesized heteroleptic precursors can offer higher vaporization ability and easier precursor handling. The ALD parameters indicate a higher growth rate and wider temperature window than their homoleptic counterparts for both water and plasma processes.

The most promising homo- and heteroleptic precursors have been evaluated for large-scale coating in an atmospheric pressure ALD setup for coating powders.

View Supplemental Document (pdf)
AF-MoP-85 The Role of Steric Hindrance During Plasma Enhanced ALD of SiO2
Chenhui Qu, Mark Kushner (University of Michigan)

Plasma enhanced atomic layer deposition (PE-ALD) consists of at least two steps – precursor and plasma exposure, with gas purging between steps. In PE-ALD of SiO2, the Si-containing precursor is first deposited, typically in a plasma-free environment, in a self-limiting step. The Si-containing precursor is typically an organic molecule, such as bis[diethylamino]silane, BDEAS [SiH2(N(CH3)2)2] or tris[dimethylamino]silane 3DMAS [SiH(N(CH3)2)3]. The surface is then exposed to an oxygen-containing plasma to remove the ligands, oxidize the Si and prepare the surface for the next passivation step. PE-ALD should be capable of depositing the equivalent of 1 monolayer/cycle. However, deposition per cycle is typically much less than 1 monolayer, and sometimes as small as 0.1 monolayers per cycle even for fully passivated, self-limiting processing. One cause of this low deposition rate is the steric hindrance caused by the Si precursor. The precursors are simply large molecules which, when adsorbed, block possible adsorption sites for other precursors. The end result is a cessation of passivation of the surface without passivating all available sites – that is, self-limiting while not being saturated. In PE-ALD, during plasma exposure, high-energy ions, hot neutrals, and UV/VUV photons can also produce defects in the film that can lead to non-saturating coverage.

In this paper, results from computational investigations of PE-ALD of SiO2 will be discussed with a focus on the consequences of the steric hindrance of Si precursors on deposition rate and film quality (measured by defects or film density). The system is a capacitively coupled plasma sustained in Ar/O2 gas mixtures at 1-5 Torr for the oxidation step. The target features are either blanket deposition or trench and vias with a 20-50 nm opening and an aspect ratio (AR) of 10-20. The modeling platforms are the Hybrid Plasma Equipment Model (HPEM) for the reactor scale and the Monte Carlo Feature Profile Model (MCFPM) for the feature scale. The MCFPM, a voxel-based simulator, was improved to enable deposition of large molecules that produce steric hindrance of adjacent surface sites by resolving the precursor as the central Si atom and ligand groups. The ligand groups are co-deposited with the Si so that the steric hindrance is naturally included. Results from parametric studies will be discussed for deposition rate and defect generation as a function of the degree of steric hindrance (size of precursor), plasma conditions (reactant fluxes, ion energies) and feature topology (AR, reentrant features).

* Work supported by LAM Research Corp., Samsung Electronics and the DOE Office of Fusion Energy Science.

AF-MoP-86 Machine Learning for Atomic Layer Deposition: Process Optimization Based on Growth Profiles
Angel Yanguas-Gil, Jeffrey W. Elam (Argonne National Laboratory)

In recent years the areas of machine learning and artificial intelligence have experienced a tremendous growth. However, the bulk of the development has focused on non-scientific datasets, primarily visual data. In this work, we show how we can adapt some of the existing methodologies to the field of atomic layer deposition, in particular to accelerate process optimization using ex-situ data such as reactor growth profiles.

One of the challenges of artificial neural networks is that they require large amounts of training data. In order to overcome this limitation, we have used reactor scale simulations to build two synthetic datasets, one focused on 1D growth profiles for a cross-flow cylindrical reactor, and one with 2D growth profiles for a model wafer-scale reactor. In order to ensure good transferability, the simulation tools used for this work were first validated against experimental data in our laboratory.

We have then trained artificial neural networks with different architectures against these datasets to explore their ability to encode and generate growth profiles that are physically correct and consistent with ALD behavior. We have applied this approach to solve a one-step optimization problem, in which the goal is to provide optimal dose times based on just a single growth profile. After training the network for one-step optimization, the Pearson correlation between dose profiles predicted by the network and the ground truth from a testing dataset is 0.995. Our results show that artificial neural networks are capable of learning ALD profiles and provide excellent surrogate models. Similar approaches could be used for other applications, such as optimal growth profile reconstruction, the extraction of kinetic data from 2D profiles, or to evaluate the ideality of an ALD process.

AF-MoP-90 Plasma-Enhanced ALD of as-Grown Crystalline VOx and the Evolution of its Phase Structure via Critically Tuned Post-Deposition Annealing Process
Adnan Mohammad, Deepa Shukla, Saidjafarzoda Ilhom, Krishna D. Joshi, Brian Willis, Barrett Wells, Necmi Biyikli (University of Connecticut)

Vanadium dioxide (VO2) shows a low-temperature (~70 °C) phase-transition behavior due to a structural change from monoclinic phase to a tetragonal rutile phase structure. This character is defined as MIT (metal-to-insulator transition), which can be used in electrical and optical switches. In the relatively narrow ALD-grown VOx literature, growth of VOx is predominantly reported with thermal ALD recipes, in which TEMAV or VTIP are utilized as the vanadium precursor and either H2O or ozone (O3) as the oxygen co-reactant. While the as-grown films have been reported to be mostly amorphous, post-deposition annealing enabled to achieve different crystal phases of VOx, including VO2. On the other hand, no significant report is yet found on VOx films grown via plasma-enhanced ALD (PEALD), where O2 plasma is used as the coreactant. An optimized PEALD recipe towards obtaining as-grown crystalline VOx films might attract interest for certain applications where post-deposition annealing would be prohibitive.

In this work we report on the low-temperature crystalline VOx deposition in a remote-plasma ALD reactor featuring an inductively coupled plasma (ICP) source, in which we utilized TEMAV and O2 plasma as the metal precursor and co-reactant, respectively. The parameters used for the PEALD experiments are 0.03 s of TEMAV pulse with 20 sccm of Ar-carrier flow, 100 sccm Ar-purge for 10 sec, 50 sccm O2 plasma at 100W for 10 s, and finally another 10 s of Ar purge. In addition, the TEMAV precursor cylinder is heated at 115 °C in order to provide sufficient amount of precursor vapor into the growth chamber. The as-grown films are subsequently annealed in a high-vacuum chamber to observe the change in the film phase structure as a function of annealing temperature and pressure. The annealing temperature was scanned from 450 to 600 °C, while the annealing pressure was changed between 0.5 mTorr to 5 mTorr, which is controlled by O2 gas flow. The x-ray diffraction (XRD) measurements revealed crystalline V2O5 phase for the as-deposited films. A shift in the diffraction peak is observed at the samples were annealed at 0.5 mTorr, 450 and 600 °C, at which they exhibited V4O9 and monoclinic VO2 crystal phases, respectively. Increasing the annealing pressure to 5 mTorr on the other hand, has drastically reduced the XRD peak intensities and resulted in an amorphous-like VOx film. Temperature-dependent electrical characterization of the VO2 samples will be performed to verify the MIT character of the films and the results will be correlated with high-resolution x-ray photoelectron spectroscopy measurements.

View Supplemental Document (pdf)
AF-MoP-92 Investigating the Role of N2 Plasma Composition on the Atomic Layer Growth of InN Films Using Hollow-Cathode Plasma Source
Saidjafarzoda Ilhom, Adnan Mohammad, Deepa Shukla, John Grasso, Brian Willis (University of Connecticut); Ali Kemal Okyay (Stanford University); Necmi Biyikli (University of Connecticut)

In this work, we have studied the influence of N2 plasma composition on the growth of indium nitride (InN) thin films at low substrate temperatures via hollow-cathode plasma-assisted atomic layer deposition (HCPA-ALD). InN films were deposited on Si(100) substrates using trimethylindium (TMI) and variants of N2 plasma (N2-only, Ar/N2, and Ar/N2/H2) as the metal precursor and nitrogen co-reactant, respectively. ALD growth experiments have been performed within 50 - 150 W plasma power range and 160 - 240 °C substrate temperature. In-situ ellipsometry was employed to observe individual ligand exchange events in real-time during the growth process. Additionally, ex-situ characterizations were done to identify the optical, structural, and chemical properties of the grown InN films. X-ray diffraction (XRD) results showed that only the samples grown at 200 and 240 °C with 100 W rf-power displayed single-phase hexagonal crystalline structure with peak intensity values increasing as a function of substrate temperature. Moreover, varying the plasma chemistry such as addition of H2 to Ar/N2 led to significant microstructural changes resulting in crystalline In2O3films. As such, x-ray photoelectron spectroscopy (XPS) measurements are carried out to further understand the possible reactions taking place with varying N2 plasma compositions. XPS results revealed that films with Ar/N2 plasma show near-ideal stoichiometric In:N ratios (~1:1), which becomes more nitrogen rich when only N2 plasma is employed. However, films grown with Ar/N2/H2 plasma composition showed significant O incorporation in the films confirming the transformation from InN to In2O3 phase. Spectroscopic ellipsometer is utilized to study the spectral absorption characteristics of the optimal InN films with Ar/N2 plasma to extract the corresponding optical band edge. Films grown at 200 and 240 °C exhibited high absorption components with optical absorption band edges at 2.10 and 1.78 eV, respectively, indicating crystalline InN films, while 160 °C sample with relatively lower absorption and larger band gap of 2.24 eV reminds of more porous and amorphous films with higher carbon-oxygen impurity content.

View Supplemental Document (pdf)
AF-MoP-93 As-Grown Crystalline β-Ga2O3 Films via Plasma-Enhanced ALD at Low Substrate Temperatures
Saidjafarzoda Ilhom, Adnan Mohammad, Deepa Shukla, John Grasso, Brian Willis (University of Connecticut); Ali Kemal Okyay (Stanford University); Necmi Biyikli (University of Connecticut)

Growing wide bandgap semiconductors, such as Ga2O3 and GaN at very low and CMOS compatible temperatures has attracted great research attention. In the current flexible electronics era, there is a great demand for producing high quality and in particular as-grown crystalline thin films of these materials at lower substrate temperatures.

We report on the low-temperature, as-grown crystalline Ga2O3 films on various substrates (Si, sapphire, glass, and Kapton) via a hollow-cathode plasma-assisted ALD system. The films were deposited using triethylgallium (TEG) and Ar/O2 plasma as metal precursor and oxygen co-reactant, respectively. Growth experiments were performed at 200–240 °C substrate temperature and 30–200 W rf-power range. Additionally, each unit AB-type ALD-cycle was followed by an in-situ Ar-plasma annealing treatment, which consisted of Ar-plasma exposure for 20 seconds scanned over 50–300 W rf-power. Both in-situ and ex-situ ellipsometry was employed to measure the thickness and optical properties of the films. The thickness of the films without Ar-annealing stage, ranged between 20.74–39.30 nm and as-grown refractive indices were between 1.75–1.67 within the scanned plasma power range. The relatively significant amount of thickness gain (39.30 nm) and reduced refractive index of the films (1.67) at the increased plasma powers (200 W) reminds of a possible plasma re-deposition of carbon-rich reaction byproducts or increased incorporation of oxygen atoms. X-ray diffraction (XRD) showed that Ga2O3 films grown without in-situ plasma annealing exhibited amorphous structure irrespective of both substrate temperature and rf-power. However, with the introduction of in-situ Ar-annealing the thickness of the films ranged between 22.9–31.4 nm with refractive indices of 1.75–1.79. The increased refractive index (1.79) and reduced thickness gain (31.4 nm) at 250 W Ar-annealing power indicates possible densification and crystallization of the films. Indeed, XRD and XRR confirmed that in-situ Ar-plasma treated films grow in a monoclinic β-Ga2O3 crystal phase with further improving crystallinity and film density (from 5.07 to 5.80 g/cm3) with increasing Ar-annealing rf-power. X-ray photoelectron spectroscopy (XPS) measurement results of the β-Ga2O3 samples grown under varying in-situ Ar-annealing plasma conditions will be discussed as well, which provides additional insight into the elemental composition of the films that might help to understand the changes in the structural, optical, and electrical properties. A future outlook will be provided to overcome the challenges to achieve device quality layers on low-temperature compatible flexible substrates.

View Supplemental Document (pdf)
AF-MoP-94 Aluminum Oxide ALD with Hydrogen Peroxide: Comparative Study of Growth and Film Characteristics for Anhydrous H2O2, H2O2/H2O Mixtures, H2O and Ozone
Jeffrey Spiegelman, Dan Alvarez (RASIRC); Keisuke Andachi, Gaku Tsuchibuchi, Katsumasa Suzuki (Taiyo Nippon Sanso Corporation, Japan)

Thermal low temperature ALD has seen a resurgence in activity due to difficulties found with plasma approaches on 3D surfaces. Hydrogen peroxide reactivity may benefit low temperature growth rates and achieve improved film properties. We studied:

· Gas-phase hydrogen peroxide, delivered from an anhydrous, ampoule-based formulation by use of a membrane delivery system.

· High concentration H2O2/H2O delivery by in situ concentration methods and use of a membrane vaporizer as a gas generator.

ALD studies on Al2O3 films have been conducted with the use of Trimethyl Aluminum (TMA). Growth rates of H2O2/H2O, H2O, H2O2 and O3 have been observed at 100-350°C. As has been reported, growth rates drop with increased temperature. Previous works have explained that surface de-hydroxylation occurs with increasing temperature, and the slope of this decrease is significantly less for anhydrous hydrogen peroxide. This can be attributed to surface re-hydroxylation with the use of dry H2O2, where this oxidant can easily split into hydroxy radicals HO. The overall higher growth rates for H2O2/H2O and H2O may be attributed to the increased vapor pressure of these oxidants which provides added reactant material to the substrate surface. The H2O2/H2O combination leads to the highest overall growth rate, where the effects of H2O2 augment the fast growth rate of water.

Initial FT-IR study was performed on films grown with H2O2/H2O and H2O; the measured signal for the hydrogen peroxide films is approximately 20% stronger. Though this measurement is somewhat qualitative, it implies that films grown with hydrogen peroxide have higher film density.

Composition of films grown by all four oxidant methods was measured by XPS; all films have near stoichiometric Al2O3 composition, within the experimental error of the instrument.

Initial wet etch rate studies (7.14% buffered HF) were performed on H2O2/H2O and H2O films grown at 200°C. In this instance, H2O2/H2O film has an etch rate of 69.9nm/min vs 81.5nm/min for water: a 15% improvement in etch resistance.

Electrical properties of resultant Al2O3 films have been examined. For films grown at 300C, Dielectric Breakdown Strength was measured. Here, film grown with H2O2/H2O was significantly greater than both water and ozone grown films; anhydrous hydrogen peroxide was similarly improved, but to a lesser degree. An analogous result was obtained when measuring leakage current.

An extensive film property data set with all oxidants will be presented in the temperature range of 100-350C. Correlations to surface chemistry will made along with suggestions on how to tailor film properties for specific applications.

View Supplemental Document (pdf)
AF-MoP-95 Indium Aluminum Nitride Growth Kinetics and Crystallinity Studied Using In Situ and Ex Situ Synchrotron X-ray Scattering
Jeffrey Woodward (ASEE); Samantha Rosenberg (Sandia National Laboratories); Scooter D. Johnson (U.S. Naval Research Laboratory); Zachary R. Robinson (SUNY College at Brockport); Neeraj Nepal (U.S. Naval Research Laboratory); Karl F. Ludwig (Boston University); Charles Eddy, Jr. (U.S. Naval Research Laboratory)

Indium aluminum nitride (InAlN) is an attractive material system due to its capabilities for lattice matching and polarization engineering with indium gallium nitride and aluminum gallium nitride. While conventional methods of epitaxial growth of InAlN are challenged by a large miscibility gap and the significant differences in optimal growth conditions for the constituent indium nitride (InN) and aluminum nitride (AlN) binary compounds, InAlN alloys throughout the entire compositional range have been successfully achieved in the form of ultra-short period superlattices known as digital alloys (DA) using plasma-assisted atomic layer epitaxy (ALEp)1. However, the realization of ALEp-grown InAlN films of sufficient quality for device applications requires a deeper understanding of the nucleation and growth kinetics, and their correlation with the resultant crystalline structure. To this end, grazing incidence small-angle X-ray scattering (GISAXS) using synchrotron radiation has been established as an effective technique for the real-time in situ study of nanoscale surface topography during the ALEp growth of III-N films2. In addition, access to a synchrotron light source motivates the use of grazing incidence wide-angle X-ray scattering (GIWAXS), which probes order at atomic length scales, as a powerful method to investigate the crystal lattice of the film.

In this work, we present real-time in situ GISAXS and ex situ GIWAXS studies of InAlN DA ALEp growth on GaN performed at the ISR and CMS beamlines of Brookhaven National Laboratory’s NSLS-II, respectively. Two sample sets were investigated – one in which the target stoichiometry was varied across the entire compositional range, and another in which the superlattice period was varied while the target stoichiometry remained fixed at 62% InN. The GIWAXS results indicate that order within the InAlN crystal structure increases with both the In content and the superlattice period.

1 N. Nepal, V.R. Anderson, J.K. Hite, and C.R. Eddy, Thin Solid Films 589, 47 (2015)

2 J.M. Woodward, S.G. Rosenberg, A.C. Kozen, N. Nepal, S.D. Johnson, C. Wagenbach, A.H. Rowley, Z.R. Robinson, H. Joress, K.F. Ludwig Jr, C.R. Eddy Jr, J. Vac. Sci. Technol. A 37, 030901 (2019)

View Supplemental Document (pdf)
AF-MoP-96 Potential of Guanidinate and Amidinate Gallium Complexes as Precursors for Atomic Layer Deposition
Paul-Alexis Pavard (CNRS-IPVF, France); Stephane Bellemin-Laponnaz (CNRS, France); Corinne Gosmini (École Polytechnique CNRS, France); Daniel Lincot (CNRS-IPVF, France); Audrey Auffrant (École Polytechnique CNRS, France)

Atomic Layer Deposition (ALD) is a booming technology to deposit thin films and has been applied in several fields. This technique is based on surface-chemical reactions, and relies on the gas phase transport of metal containing molecules into a reaction chamber. However, not any molecule is suitable to be used as precursor, as they must be also thermally robust while being sufficiently volatile and chemically labile to react with the surface functional groups. Organometallic chemistry offers an infinite set of options to design new efficient precursors, though predicting their volatility and reactivity in the ALD chamber remains tricky.

This communication focuses on the development of new efficient gallium precursors to be used in the ALD of oxygen-free gallium-containing sulphide thin films.[1] The target ligands are guanidinate and amidinate, as these are known for their relative ease of synthesis and their thermal stability. Indeed, amidinate guanidinate-based complexes of tantalum, titanium and lanthanides have been tested as ALD precursors.[2] A series of gallium guanidinate and amidinate complexes were synthesized by tuning the substituents on both the endocyclic carbon atom of the ligand backbone and the ones on the nitrogen atoms, or by inserting alkyl or halide ligands in the coordination sphere of the gallium centre.[3,4] The evolution of the formed complexes was followed by in-situ NMR. To shed light on the transport of the reagents in ALD, transport conditions were mimicked by subliming gallium complexes.

[1] N. Schneider, M. Frégnaux, M. Bouttemy, F. Donsanti, A. Etcheberry, D. Lincot, Materials Today Chemistry 2018, 10, 142–152.

[2] A. Kurek, P. G. Gordon, S. Karle, A. Devi, S. T. Barry, Australian Journal of Chemistry 2014, 67, 989–996.

[3] A. P. Kenney, G. P. A. Yap, D. S. Richeson, S. T. Barry, Inorganic Chemistry 2005, 44, 2926–2933.

[4] S. Dagorne, R. F. Jordan, V. G. Young, Organometallics 1999, 18, 4619–4623.

View Supplemental Document (pdf)
Session Abstract Book
(590KB, Jul 28, 2020)
Time Period MoP Sessions | Topic AF Sessions | Time Periods | Topics | ALD2020 Schedule